ハードウェア記述言語の今と未来_公開用.ppt

Size: px
Start display at page:

Download "ハードウェア記述言語の今と未来_公開用.ppt"

Transcription

1 ハードウェア記述言語の今と未来 未来言語 2009

2 アジェンダ o 自己紹介 o Verilog の紹介 VHDL OpenSparc だ! o ちょっと未来の言語 SystemVerilog SystemC o 未来の言語 HW 記述 リコンフィグ CPU カスタマイズ コプロの自動生成 ルールベース 成功事例

3 自己紹介 o なつたん FPGA 系プログラマ マイコンも好き 長門有希も好き ココログ ( ぱた へね ( o 仕事 半導体のプロトタイピング ぼくのかんがえたすーぱーこんぴゅーた を作るお手伝い

4 アジェンダ o 自己紹介 o Verilog の紹介 VHDL OpenSparc だ! o ちょっと未来の言語 SystemVerilog SystemC o 未来の言語 HW 記述 リコンフィグ CPU カスタマイズ コプロの自動生成 ルールベース 成功事例

5 Verilog と仲間たちの紹介 o Verilog( 正確には Verilog HDL) HDL は Hardware Description Language の略 標準化 o IEEE o IEEE o VHDL VHSIC(Very High Speed Integrated Circuits) Hardware Description Language 標準化 o IEEE o IEEE 1164

6 VHDL についてもう少し o o o Adaに似た文法 HDLとして見た場合 現状 できることはVerilogと同じ wiki/vhdl から

7 Verilog が使われるところ o デジタル回路の動作を記述するための言語 プロセッサ ネットワークコントローラ GPU ゲーム機や携帯の中にいろいろ デジカメや TV の中にも o 最終生成物 ( 実行ファイル相当 ) は半導体

8 LSI ができるまで o 仕様決定 o Verilog コーディング o シミュレーション o 論理合成 ( コンパイル ) o 配置配線 o 製造 (1 ヶ月 数千万 ~ 数億円 )

9 夢のデバイス FPGA o コンパイル済みのデータをダウンロードすると 専用ハードウェアになる ファミコン MSX MIPS Sparc ARM デジタル回路なら何でも OK o Verilog 修正から実記動作までのサイクルが数時間 o LSI のプロトタイプとしても使用される o 欠点 単価が高い 速度が遅い 消費電力が多い

10 Verilog の特徴 o 並列動作を記述できる o シミュレーション可能な記述と合成可能な記述 抽象度の高い表現は合成できない o 知名度低い ユーザー数は国内数万人 先に規格化ありき ユーザーからの情報がほとんど無い 製品レベルで公開されているのは OpenSparc くらい

11 Verilog の Concurrent について o Verilog の Concurrent は少し違う a,b の両方が 0 になるか 両方が 1 になる clk a 1 b

12 パイプラインの例 o Nios2 の命令パイプライン

13 OpenSPARC だ! UltraSPARC T1/T2 プロセッサ o 4 つのスレッドを同時実行できる 例えば define TREHAD 4 を変えたら 8 つ同時とかできそう! OpenSPARC. T1 Microarchitecture Specification の p2-7

14 OpenSPARC のプログラムカウンター o どう見てみても決め打ちです ありがとうございました sparc_ifu_fdp.v

15 Verilog の紹介まとめ o 規格がしっかりあります o LSI 作るの大変です FPGA ならなんでもできる o 並列動作が記述できる o OpenSPARC もほとんど決め打ち 抽象度が高い記述ができないから 開発大変だよ!

16 アジェンダ o 自己紹介 o Verilog の紹介 VHDL OpenSparc だ! o ちょっと未来の言語 SystemVerilog SystemC o 未来の言語 HW 記述 リコンフィグ CPU カスタマイズ コプロの自動生成 ルールベース 成功事例

17 ちょっと未来の言語の紹介 o SystemVerilog IEEE Standard Verilog2005 からの差分で約 586 ページ o Verilog2005 は Verilog2001 からの差分で 441 ページ o Verilog2001 は 778 ページ o SystemC IEEE Std C++ からの差分で約 400 ページ

18 SystemVerilog( ちょっと未来 ) o オブジェクト指向 (Java っぽい ) o 基本的にはシミュレーション部分の強化 o string データ型をサポート! o 乱数生成の標準化約 50 ページ Section 12 Random Constraints ランダム検証で使用 o アサーションの標準化約 60 ページ Section 17 Assertions Section 28 SystemVerilog Assertion API もともと別言語を標準に取り込む o カバレッジの標準化約 30 ページ Section 20 Coverage. Section 29 SystemVerilog Coverage API

19 ランダム検証 o 入力をランダムに行い 期待値との比較を行う 数値演算系 通信系 マルチタスク 数値計算 通信ポートの制御 画像処理 Prog1 Prog2 Prog3 アクセスをランダムに混ぜる

20 SystemVerilog の乱数 o 基本動作 class Bus; endclass rand bit[15:0] addr; rand bit[31:0] data; constraint word_allign {addr[1:0] == 2 b0;} bus.randomize(); bus.randomize() with {10 <= addr && addr <= 20}; bus.randomize() with {data & (data 1) == 0}; //data が 2 のべき乗

21 SytemVerilog の乱数 o 重み (distribution) x dist {100 := 1, 200:= 2, 300 := 5} o の比率が 1:2:5 x dist {[100:102] := 1, 200 := 2, 300 := 5} o の比率が 1:1:1:2:5 x dist {[100:102] :/ 1, 200 := 2, 300 := 5} o の比率が 1/3:1/3:1/3:2:5 o 周期 (random-cyclice) randc bit[1:0] y; o [ ] [ ] [ ] o 全パターンを発生させてから 次のパターンに移る

22 SystemVerilog の乱数 o o o o 常に大きくなる乱数 constraint sort { if (next!= null) n < next.n;} 配列の乱数生成にforeach rand int A[]; constraint c1 {foreach (A[i]) A[i] > 2 * i;} o 配列の各要素は インデックスの2 倍より大きい 複雑な制約 constraint c1 { (x < y a.x > b.x a.x == 5) -> x+y == 10;} 仕様では 解が存在する場合必ず乱数の組を返すことを処理系に求めている 乱数を入力した結果の確認はどうするの?

23 SystemVerilog のアサーション o o o o 即時アサーション assert(a>0); 並列アサーション assert property (@(posedge clk) a ##1 b); o aが1になって 次のクロックでbも1になる assert property (@(posedge clk) a => b ##2 c) o aが1になって 次のクロックでbが1になり 2クロック後にcが1になる assert property (@(posedge clk) a => b ## [2:5] c); o aが1になって 次のクロックでbが1になり 2から5クロック後にcが1 になる disable iffでアサーションを無効にできる $past() で過去の値を参照できる

24 SystemVerilog のアサーション o アサーションに名前をつけられる sequence qab2 (x, y); x ##1 y; endsequence o sequence endsequence で囲む o 引数も使用できる o アサーション同士の演算が定義されている S1 ##1 S2 S1 が終わった次のクロックで S2 がスタート S1 or S2 S1 または S2 のどちらかが成立する S1 and S2 S1 と S2 が両方発生する S1 intersect S2 長さが同じ S1 と S2 が両方発生する

25 カバレッジについて fsm: 状態遷移 Modelsim user s manual 6.4a P50

26 SystemC( ちょっと未来 ) o C++ のクラスライブラリとして提供 実態はシミュレータ o システムの性能評価をいち早くできる overilog を知らなくてもシステム設計ができる o 高価なシミュレータ不要 未来言語への大きな一歩 SystemC はシミュレーションがはやーい! 検証系は言語仕様とは別にライブラリとして提供

27 軍曹が 携帯電話開発の現状 プログラマ板後編に登場 o 397 名前 :YRP 常駐 from 群馬投稿日 :04/08/03 02:5 画期的なシミュレーション システムは 半年後にハードディスクを増設してから利用する事になり 暫くの間はその存在を忘れる事ができた ハード部門の中尉が 半年後には System-C を使ったハード ソフト協調設計を是非とも提案したいと思います と言っていたが 誰も耳を傾けなかった ハード屋に読めるように C 言語を歪めるつもりなのだろう と俺は解釈した 俺たちは ICE の増設を求めていたが 半年後に UNIX マシンのハードディスクを 100GB 程度増設する計画の前に ICE のレンタル費用が早くも削減されてしまった 長引く開発の中で ハード部門とソフト部門の勢力争いがろくな結果をもたらさない 結局 俺たちは低レベルな ICE の奪い合いに明け暮れるのであった そして 昨年の長かった夏が過ぎ 秋を迎えた 依然 帰れる見通しは立たない 上等兵達は半年以上も里に帰っていなかった

28 FPGA の早さ o OpenSPARC Internals から

29 Verilog は変数が 4 値 o 普通の言語は 1bit が 2 値 (1 or 0) o Verilog は 4 値 (1,0,X,Z) Z は Hi-Z( ハイインピーダンス ) o 電気的に切り離された状態 olsi の外側のシミュレーションで使用する opci バスとか X は不定 o 初期化していない状態 ox を代入することもできる

30 不定値を含む演算 o NOT A X Not A X o AND A B A and B 1 X X 0 X 0 o OR A B A or B 1 X 1 0 X X

31 ちょっと未来の言語まとめ o SystemVerilog オブジェクト指向 検証用の機能が充実 o 乱数生成 アサーション カバレッジ o SystemC C++ で HW の動作を記述できる シミュレーションが安い! 速い!

32 アジェンダ o 自己紹介 o Verilog の紹介 VHDL OpenSparc だ! o ちょっと未来の言語 SystemVerilog SystemC o 未来の言語 HW 記述 リコンフィグ CPU カスタマイズ コプロの自動生成 ルールベース 成功事例

33 未来の言語 o HW 生成 o リコンフィグ o CPU カスタマイズ o コプロの自動生成 o ルールベース o 成功事例

34 未来の言語 HW 生成 o C でアルゴリズムを書くと Verilog に変換する o Verilog の置き換えを目標 o 検証の手間が大幅に削減できる ランダムの生成 テキスト処理 OS/ アプリの機能が使える o 動画の表示 o ネットワークのモニタリング o FPGA プロトとの接続 o 人的リソースを集めやすい

35 未来の言語リコンフィグプロセッサ o 動作中に自分自身の HW を書き換えるプロセッサ o CPU との組み合わせで使用する CPU によって処理が重たい所を HW 化する HW で処理しにくいところを CPU が担当する o ソフトとハードウェアの分担は手で行う

36 未来の言語 DFC Compiler o DAPDNA の記述ができる 公開されている資料は少ない 1000speakers 第 5 回で発表あり

37 DFC Compiler o HPEC 2005 での発表資料 C-Based Hardware Design Platform for a Dynamically Reconfigurable Processor DFC compiler で検索

38 未来の言語 CPU カスタマイズ o C ソースに合わせて 自動的に CPU をカスタマイズする アプリケーションに特化した専用命令の追加 カスタマイズされた CPU 専用の gcc が自動的に作られる 私のプログラムに最適なパイプラインを作りなさい

39 未来の言語 Xtensa LX Verilog ISS gcc

40 未来の言語 : コプロの自動生成 o C ソースの一部を自動的に HW 化 アクセラレータの自動生成 HW 化しなくてもそのまま CPU で実行出来る o FPGA をターゲットにしている物が多く 若干安い IDE 上でしか使用できない 作成されたコプロに移植性無し

41 未来の言語 Triton tools

42 未来の言語 Triton tools

43 未来言語ルールベース o そもそも C は HW 記述向きではない o どんな言語が良いのか 変数とか無い方が良いよ! o 代入が無いとパイプライン組みやすい プログラムカウンターも無い方が良いよ! 見た目よりも複雑な事をやっているのも駄目 再帰も嫌です >< o 繰り返しは固定長で o 終了の判断は CPU でするとか

44 例えば Erlang Erlang Erlang のプログラミングモデルは HW にかなり近い SystemC

45 未来の言語 Bluespec

46 AutoESL に注目! o o C/C++/SystemC に対応 o Intel が採用 /Microsoft も注目 パーサの質が高く C++ の機能をかなり使えるらしい o 求む情報 ><

47 未来の HW 記述言語が普及する条件 o CPU で変更無しで動くこと 自動分割は大事 最悪 CPU でそのまま動く 人的リソースを確保しやすい o C/C++ 以外でブレークするか? 背景にある理論のアルゴリズムが 別の言語で書かれていたら Matlab やら Mathmatica から直接変換ができたら いろんな言語になれている人たちが 決裁権を持つようになったら o 今の所 C が無難かな

48 未来言語が切り開く夢 o FPGA によって少なくともコスト的には小規模な専用計算機の開発は多くの人の手の届くものになったにもかかわらず なかなか科学技術計算への応用は進んでいないように思う 上にみたように 専用計算機が有効であるためには様々な条件があることは確かだが そういった条件を満たす問題は決して少なくはない これを読んで やってみようと思う人がでれば幸いである 専用計算機の将来 GRAPE の挑戦 09web/node6.html

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

memo

memo 数理情報工学演習第一 C プログラミング演習 ( 第 5 回 ) 2015/05/11 DEPARTMENT OF MATHEMATICAL INFORMATICS 1 今日の内容 : プロトタイプ宣言 ヘッダーファイル, プログラムの分割 課題 : 疎行列 2 プロトタイプ宣言 3 C 言語では, 関数や変数は使用する前 ( ソースの上のほう ) に定義されている必要がある. double sub(int

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

TRQerS - Introduction

TRQerS - Introduction TRQerS 導入概要 横河ディジタルコンピュータ株式会社エンベデッドソリューション事業本部サポート部 (ESC-APN-035-02 Dec,25,2015) 1 システムマクロトレースの特徴 製品構成と導入フロー 2 システムマクロトレースの特徴 システムマクロトレース printf ログ出力の発展形 (printf より高速 文字列 / タグ情報 / タスク遷移 / 関数遷移 ) ハードウェアインターフェース

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド メソッド ( 教科書第 7 章 p.221~p.239) ここまでには文字列を表示する System.out.print() やキーボードから整数を入力する stdin.nextint() などを用いてプログラムを作成してきた これらはメソッドと呼ばれるプログラムを構成する部品である メソッドとは Java や C++ などのオブジェクト指向プログラミング言語で利用されている概念であり 他の言語での関数やサブルーチンに相当するが

More information

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 今回のプログラミングの課題 次のステップによって 徐々に難易度の高いプログラムを作成する ( 参照用の番号は よくわかる C 言語 のページ番号 ) 1. キーボード入力された整数 10 個の中から最大のものを答える 2. 整数を要素とする配列 (p.57-59) に初期値を与えておき

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ネットワークプログラミング 演習 第 12 回 Web サーバ上で動作するプログラム 2 今日のお題 PHPのプログラム例 おみくじ アクセスカウンタ ファイルの扱い lock ファイルの所有者 許可と権限 PHP の文法 ( の一部 ) if, for, while の制御の構文は C 言語と似ている 型はあるが 明示的な宣言はしなくてよい 変数には型がない 変数の宣言はしなくてよい 変数名には

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 05 関数 (1) 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 関数とは p.162 数学的には入力に対して出力が決まるもの C 言語では入出力が定まったひとまとまりの処理 入力や出力はあるときもないときもある main() も関数の一種 何かの仕事をこなしてくれる魔法のブラックボックス 例 : printf() 関数中で行われている処理の詳細を使う側は知らないが,

More information

Microsoft PowerPoint - prog03.ppt

Microsoft PowerPoint - prog03.ppt プログラミング言語 3 第 03 回 (2007 年 10 月 08 日 ) 1 今日の配布物 片面の用紙 1 枚 今日の課題が書かれています 本日の出欠を兼ねています 2/33 今日やること http://www.tnlab.ice.uec.ac.jp/~s-okubo/class/java06/ にアクセスすると 教材があります 2007 年 10 月 08 日分と書いてある部分が 本日の教材です

More information

Microsoft Word - VBA基礎(6).docx

Microsoft Word - VBA基礎(6).docx あるクラスの算数の平均点と理科の平均点を読み込み 総点を計算するプログラムを考えてみましょう 一クラスだけ読み込む場合は test50 のようなプログラムになります プログラムの流れとしては非常に簡単です Sub test50() a = InputBox(" バナナ組の算数の平均点を入力してください ") b = InputBox(" バナナ組の理科の平均点を入力してください ") MsgBox

More information

卒論発表

卒論発表 0 年度 ( 平成 年度 ) 広島市大 卒業研究 実現するアルゴリズムの証明に 注目した ASIP のシステム検証 広島市立大学 情報科学部 情報工学科錦織光輝 ( 高橋隆一指導 ) Mitsuki Nishikori 研究背景 0 年代には Verilog HDL によって仕様を記述し, 論理合成によって回路を実現するスタイルが普及した 検証技術が論理合成に続く技術として期待されている 満たすべき性質をアサーションとして記述することによるシミュレーションでの検証

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

/

/ / CoMET とは? APPLICATIONS IP -PROCESSOR IP Application Programs (C/C++) Reactive Operating System Kernels PROPRIETARY OPERATING SYSTEM IP BUS, CACHE, TLB IP SOFTWARE TEST SETS Interactive Software Debugging

More information

プレポスト【解説】

プレポスト【解説】 コース名 : シェルの機能とプログラミング ~UNIX/Linux の効率的使用を目指して ~ 1 UNIX および Linux の主な構成要素は シェル コマンド カーネルです プロセスとは コマンドやプログラムを実行する単位のことなので プロセスに関する記述は誤りです UNIX および Linux のユーザーインターフェースは シェル です コマンドを解釈するという機能から コマンドインタープリタであるともいえます

More information

Javaの作成の前に

Javaの作成の前に メディアプロジェクト演習 1 参考資料 Javaとは JavaScript と Java 言語の違い オブジェクト指向 コンストラクタ サーブレット 本資料内のページ番号は, 以下の参考書のページを引用している 高橋麻奈 : やさしい Java, ソフトバンククリエイティブ (2,625 円 ) はじめに プログラミング言語とは? オブジェクト指向とは? Java 言語とは? JavaとJavaScriptの違いとは?

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

C#の基本

C#の基本 C# の基本 ~ 開発環境の使い方 ~ C# とは プログラミング言語のひとつであり C C++ Java 等に並ぶ代表的な言語の一つである 容易に GUI( グラフィックやボタンとの連携ができる ) プログラミングが可能である メモリ管理等の煩雑な操作が必要なく 比較的初心者向きの言語である C# の利点 C C++ に比べて メモリ管理が必要ない GUIが作りやすい Javaに比べて コードの制限が少ない

More information

Microsoft PowerPoint Java基本技術PrintOut.ppt [互換モード]

Microsoft PowerPoint Java基本技術PrintOut.ppt [互換モード] 第 3 回 Java 基本技術講義 クラス構造と生成 33 クラスの概念 前回の基本文法でも少し出てきたが, オブジェクト指向プログラミングは という概念をうまく活用した手法である. C 言語で言う関数に似ている オブジェクト指向プログラミングはこれら状態と振る舞いを持つオブジェクトの概念をソフトウェア開発の中に適用し 様々な機能を実現する クラス= = いろんなプログラムで使いまわせる 34 クラスの概念

More information

Method(C 言語では関数と呼ぶ ) メソッドを使うと 処理を纏めて管理することができる 処理 ( メソッド ) の再実行 ( 再利用 ) が簡単にできる y 元々はC 言語の関数であり 入力値に対する値を 定義するもの 数学では F(x) = 2x + 1 など F(x)=2x+1 入力値 (

Method(C 言語では関数と呼ぶ ) メソッドを使うと 処理を纏めて管理することができる 処理 ( メソッド ) の再実行 ( 再利用 ) が簡単にできる y 元々はC 言語の関数であり 入力値に対する値を 定義するもの 数学では F(x) = 2x + 1 など F(x)=2x+1 入力値 ( Method(C 言語では関数と呼ぶ ) メソッドを使うと 処理を纏めて管理することができる 処理 ( メソッド ) の再実行 ( 再利用 ) が簡単にできる y 元々はC 言語の関数であり 入力値に対する値を 定義するもの 数学では F(x) = 2x + 1 など F(x)=2x+1 入力値 ( 引数 ) x が決まれば F(x) が決まる これを応用して 複雑な処理も 外面的にはひと固まりの処理として扱う

More information

AutoSway_Gaide_kari4

AutoSway_Gaide_kari4 ファイル一覧 readme.txt スクリプトの簡易説明書 AutoSway.jsx.bin スクリプト本体 インストール方法 Windows の場合 Windows Program Files\Adobe After Effects \support Files\Scripts\ScriptUI Panels Mac の場合 Applications/Adobe After Effects

More information

言語プロセッサ2005

言語プロセッサ2005 url: kameken.clique.jp/lectures/lectures2014/compiler2014/ 言語プロセッサ 2014 Language Processors 2014 平成 26 年 9 月 22 日 ( 月 ) 東京工科大学コンピュータサイエンス学部亀田弘之 まずはイントロから なぜ言語プロセッサを学ぶのか? (Why do we study a course 言語プロセッサ?)

More information

04-process_thread_2.ppt

04-process_thread_2.ppt オペレーティングシステム ~ 保護とシステムコール ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/05/08 復習 : OS の目的 ( 今回の話題 ) 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと 1 つしかプログラムが動作しない

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

Microsoft Word - CygwinでPython.docx

Microsoft Word - CygwinでPython.docx Cygwin でプログラミング 2018/4/9 千葉 数値計算は計算プログラムを書いて行うわけですが プログラムには様々な 言語 があるので そのうちどれかを選択する必要があります プログラム言語には 人間が書いたプログラムを一度計算機用に翻訳したのち計算を実行するものと 人間が書いたプログラムを計算機が読んでそのまま実行するものとがあります ( 若干不正確な説明ですが ) 前者を システム言語

More information

メディプロ1 Javaプログラミング補足資料.ppt

メディプロ1 Javaプログラミング補足資料.ppt メディアプロジェクト演習 1 Javaプログラミング補足資料 l Javaとは l JavaScript と Java 言語の違い l オブジェクト指向 l コンストラクタ l 継承 抽象クラス 本資料内のページ番号は, 以下の参考書のページを引用している高橋麻奈 : やさしい Java, ソフトバンククリエイティブ (2,625 円 ) はじめに l プログラミング言語とは? l オブジェクト指向とは?

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2017 年 5 月 15 日 東邦大学金岡晃 前回の復習 (1) このプログラムを作成し実行してください 1 前回の復習 (2) このプログラムを作成し実行してください 2 前回の復習 (3) 3 前回の復習 演算子 代入演算子 インクリメント シフト演算子 型変換 4 場合に応じた処理 5 こういうプログラムを作りたい 5 教科のテスト

More information

◎phpapi.indd

◎phpapi.indd PHP や HTML の知識がなくても大丈夫 PHP や HTML の基本も学べる FileMaker データベースを Web に公開したい FileMaker を使って動的な Web サイトを作りたい FileMaker しか知らない人が Web アプリケーションを作れるようになる! はじめに まず 本書を手に取ってくださりありがとうございます 本書はある程度 FileMaker Pro の扱いに慣れ

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション オブジェクト指向 プログラミング演習 第 4 回継承 オーバーライド ポリモルフィズム 今日のお題 継承 オーバーライド ポリモルフィズム 継承 (inherit) あるクラス c のサブクラス s を定義する : このとき s は c を継承していると言う 何かの下位概念を表すクラスは その上位概念を表すクラスの属性や機能を ( 基本的には ) 使える 継承の例 大学生 長崎県立大学の学生 大学生を継承する概念

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い 10.Netフレームワーク 10 開発資料 10 第 2 章 Mono 11 Monoの歴史 1

目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い 10.Netフレームワーク 10 開発資料 10 第 2 章 Mono 11 Monoの歴史 1 ポリテクセンター埼玉セミナー資料 組込み技術者のための C# Monoを用いたマルチプラットフォームアプリケーション開発技術 第 1.2 版 2018 年 8 月 Microbrains Inc. 渋谷 目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い

More information

ic3_cf_p1-70_1018.indd

ic3_cf_p1-70_1018.indd 章オペレーティングシステム()の基いソフトウェアで 基本ソフトウェア とも呼ばれます 第礎第 章 オペレーティングシステム () の基礎 - の役割と動作 ここでは コンピューターの基本的な構成やオペレーティングシステムの基本的な役割と操作を学習します -- コンピューターの基本構成 現代社会では さまざまな種類のコンピューター機器が各分野で利用されています 身近なものでは パソコン タブレット スマートフォンなどがありますが

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

PowerPoint Presentation

PowerPoint Presentation ProjectLA バックエンドの技術解説 RDF を使った三つ組みデータの格納 2013/03/14 クラウド テクノロジー研究部会リーダー荒本道隆 ( アドソル日進株式会社 ) 何故 RDF か? 断片的なデータを相互につなぎたい RDFは主語 述語 目的語の三つ組構造で表現 目的語と主語に同じ値を設定して それぞれをつなぐ 属性を事前に決定できない RDFはスキーマレスなので 柔軟に対応できる

More information

ソフトウェア基礎 Ⅰ Report#2 提出日 : 2009 年 8 月 11 日 所属 : 工学部情報工学科 学籍番号 : K 氏名 : 當銘孔太

ソフトウェア基礎 Ⅰ Report#2 提出日 : 2009 年 8 月 11 日 所属 : 工学部情報工学科 学籍番号 : K 氏名 : 當銘孔太 ソフトウェア基礎 Ⅰ Report#2 提出日 : 2009 年 8 月 11 日 所属 : 工学部情報工学科 学籍番号 : 095739 K 氏名 : 當銘孔太 1. UNIX における正規表現とは何か, 使い方の例を挙げて説明しなさい. 1.1 正規表現とは? 正規表現 ( 正則表現ともいう ) とは ある規則に基づいて文字列 ( 記号列 ) の集合を表す方法の 1 つです ファイル名表示で使うワイルドカードも正規表現の兄弟みたいなもの

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2019 年 5 月 13 日 東邦大学金岡晃 場合に応じた処理 1 こういうプログラムを作りたい 5 教科のテスト 100 点以上各科目の点数の合計が 100 点未満 おめでとう! これで 100 点越えのプレゼントを獲得! というメッセージを出力 残念!100 点越えのプレゼントまであと ** 点! というメッセージを出力 5 教科の点数の合計が

More information

/*Source.cpp*/ #include<stdio.h> //printf はここでインクルードして初めて使えるようになる // ここで関数 average を定義 3 つの整数の平均値を返す double 型の関数です double average(int a,int b,int c){

/*Source.cpp*/ #include<stdio.h> //printf はここでインクルードして初めて使えるようになる // ここで関数 average を定義 3 つの整数の平均値を返す double 型の関数です double average(int a,int b,int c){ ソフトゼミ A 第 6 回 関数 プログラムは関数の組み合わせでできています 今までのゼミAでも printf や scanf など様々な関数を使ってきましたが なんと関数は自分で作ることもできるのです!! 今日は自作関数を中心に扱っていきます ゲーム制作でも自作関数は避けては通れないので頑張りましょう そもそもまず 関数とは 基本的には 受け取った値に関数によって定められた操作をして その結果の値を返す

More information

Prog1_6th

Prog1_6th 2019 年 10 月 31 日 ( 木 ) 実施配列同種のデータ型を有する複数のデータ ( 要素 ) を番号付けして, ひとまとまりの対象として扱うものを配列と呼ぶ 要素 point[0] point[1] point[2] point[3] point[4] 配列 配列の取り扱いに関して, 次のような特徴がある 1. プログラム中で用いる配列変数 ( 配列の本体を参照する参照型の変数 ) は必ず宣言しておく

More information

プログラミング入門1

プログラミング入門1 プログラミング入門 1 第 8 回メソッド (2) 授業開始前に自己点検 前回までの必須課題はすべてできていますか 前回までの学習項目であいまいな所はありませんか 理解できたかどうかは自分自身の基準をもとう Java 1 第 8 回 2 前回のテーマ メソッドとは いくつかの命令の列を束ねて 一つの命令として扱えるようにしたもの 今回学ぶメソッドの役割は その他のプログラミング言語では関数またはサブルーチンと呼ばれることがある

More information

Microsoft Word - Training10_プリプロセッサ.docx

Microsoft Word - Training10_プリプロセッサ.docx Training 10 プリプロセッサ 株式会社イーシーエス出版事業推進委員会 1 Lesson1 マクロ置換 Point マクロ置換を理解しよう!! マクロ置換の機能により 文字列の置き換えをすることが出来ます プログラムの可読性と保守性 ( メンテナンス性 ) を高めることができるため よく用いられます マクロ置換で値を定義しておけば マクロの値を変更するだけで 同じマクロを使用したすべての箇所が変更ができるので便利です

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP SiTCP ライブラリ 第 1.1 版 2012 年 10 月 24 日 内田智久 Electronics system group, IPNS, KEK 1 / 12 履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/24 1.1 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です

More information

Microsoft PowerPoint - ca ppt [互換モード]

Microsoft PowerPoint - ca ppt [互換モード] 大阪電気通信大学情報通信工学部光システム工学科 2 年次配当科目 コンピュータアルゴリズム 良いアルゴリズムとは 第 2 講 : 平成 20 年 10 月 10 日 ( 金 ) 4 限 E252 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/ 第 1 講の復習

More information

Si 知識情報処理

Si 知識情報処理 242311 Si, 285301 MS 第 12 回 竹平真則 takemasa@auecc.aichi-edu.ac.jp 2015/12/21 1 本日の内容 1. 先週のおさらい 2. PHP のスクリプトを実際に動かしてみる 3. RDB についての説明 2015/12/21 2 資料の URL http://peacenet.info/m2is 2015/12/21 3 注意事項 ( その

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

SystemVerilog入門

SystemVerilog入門 Artgraphics Document Revision: 2.0, 2019.06.16 アートグラフィックス篠塚一也 2018, 2019 アートグラフィックス 124-0012 東京都葛飾区立石 8-14-1 www.artgraphics.co.jp SystemVerilog Primer 2018, 2019 Artgraphics. All rights reserved. 8-14-1,

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

11 ソフトウェア工学 Software Engineering デザインパターン DESIGN PATTERNS デザインパターンとは? デザインパターン 過去のソフトウェア設計者が生み出したオブジェクト指向設計に関して, ノウハウを蓄積し 名前をつけ 再利用しやすいようにカタログ化したもの 各デ

11 ソフトウェア工学 Software Engineering デザインパターン DESIGN PATTERNS デザインパターンとは? デザインパターン 過去のソフトウェア設計者が生み出したオブジェクト指向設計に関して, ノウハウを蓄積し 名前をつけ 再利用しやすいようにカタログ化したもの 各デ 11 ソフトウェア工学 Software Engineering デザインパターン DESIGN PATTERNS デザインパターンとは? デザインパターン 過去のソフトウェア設計者が生み出したオブジェクト指向設計に関して, ノウハウを蓄積し 名前をつけ 再利用しやすいようにカタログ化したもの 各デザインパターンの主な内容 そのデザインパターンの目的と効果 どのような役割の部品 ( クラス, インタフェース

More information

Microsoft PowerPoint - prog04.ppt

Microsoft PowerPoint - prog04.ppt プログラミング言語 3 第 04 回 (2007 年 10 月 15 日 ) 1 今日の配布物 片面の用紙 1 枚 今日の課題が書かれています 本日の出欠を兼ねています 2/33 1 今日やること http://www.tnlab.ice.uec.ac.jp/~s-okubo/class/java06/ にアクセスすると 教材があります 2007 年 10 月 15 日分と書いてある部分が 本日の教材です

More information

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074> SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2 概要編 : なぜシステムエンジニアリングか

More information

Microsoft PowerPoint - enshu4.ppt [äº™æ‘łã…¢ã…¼ã…›]

Microsoft PowerPoint - enshu4.ppt [äº™æ‘łã…¢ã…¼ã…›] 4. リスト, シンボル, 文字列 説明資料 本日の内容 1. リストとは 2. Scheme プログラムでのリストの記法 list 句 3. リストに関する演算子 first, rest, empty?, length, list-ref, append 4. 数字, シンボル, 文字列を含むリスト 1. Scheme でのシンボルの記法 2. Scheme での文字列の記法 リストとは 15 8

More information

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx シーケンスに基づく検索モデルの検索精度について 東京工芸大学工学部コンピュータ応用学科宇田川佳久 (1/3) (2/3) 要員数 情報システム開発のイメージソースコード検索機能 他人が作ったプログラムを保守する必要がある 実務面での応用 1 バグあるいは脆弱なコードを探す ( 品質の高いシステムを開発する ) 2 プログラム理解を支援する ( 第 3 者が書いたコードを保守する ) 要件定義外部設計内部設計

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

2006年10月5日(木)実施

2006年10月5日(木)実施 2010 年 7 月 2 日 ( 金 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

第2回講義

第2回講義 オブジェクト指向概論 第 2 講 クラスとカプセル化 立命館大学 情報理工学部 黄宏軒 1 オブジェクト指向の重要な概念 n クラス q 同じようなオブジェクトを まとめて 考える n 継承 ( インヘリタンス ) q 複数のクラスの 共通部分をまとめる n ポリモーフィズム ( 多態性 ) q 呼び出す側を 共通化 する n 複雑なものを簡単に 2 2.1 クラスとは何か n 類似のオブジェクトを

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション オブジェクト指向 プログラミング演習 第 4 回継承 オーバーライド ポリモルフィズム 今日のお題 継承 オーバーライド ポリモルフィズム 継承 (inherit) あるクラス c のサブクラス s を定義する : このとき s は c を継承していると言う 何かの下位概念を表すクラスは その上位概念を表すクラスの属性や機能を ( 基本的には ) 使える 継承の例 大学生 長崎県立大学の学生 大学生を継承する概念

More information

DAシンポ2003_SLD研_発表原稿

DAシンポ2003_SLD研_発表原稿 DA 2003 - JEITA SLD - JEITA E D A S L D NEC http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Copyright 2002-2003 JEITA, All rights reserved SLD Copyright 2002-2003 JEITA, All rights

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

C 言語の式と文 C 言語の文 ( 関数の呼び出し ) printf("hello, n"); 式 a a+4 a++ a = 7 関数名関数の引数セミコロン 3 < a "hello" printf("hello") 関数の引数は () で囲み, 中に式を書く. 文 ( 式文 ) は

C 言語の式と文 C 言語の文 ( 関数の呼び出し ) printf(hello, n); 式 a a+4 a++ a = 7 関数名関数の引数セミコロン 3 < a hello printf(hello) 関数の引数は () で囲み, 中に式を書く. 文 ( 式文 ) は C 言語復習 C 言語の基礎 来週もこの資料を持参してください C 言語, ソースファイルの作成, コンパイル, 実行 1 C 言語 C 言語プログラミングの手順 とは, 計算機を動かす手順を記述したもの. 計算機に命令を与えて動かすには を作成する ことになる. C 言語はプログラミング言語の 1 個 手続き型言語に分類される. C/C++ は非常に多くの場面で使われる言語 C++ は C 言語をオブジェクト指向に拡張したもの

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Scala( スカラ ) について 佐野尚之 1 本ドキュメントのライセンスについて この作品は クリエイティブ コモンズの Attribution 3.0 Unported ライセンスの下でライセンスされています この使用許諾条件を見るには http://creativecommons.org/licenses/by/3.0/ をチェックするか クリエイティブ コモンズに郵便にてお問い合わせください

More information

自宅でJava言語の開発環境を作る方法

自宅でJava言語の開発環境を作る方法 自宅で Android アプリ 開発環境を作る方法 2011 年 3 月 8 日現在 あいあいスクール代表 畠茂雄 ( はたけしげお ) もくじ はじめに... 3 第 1 章 : 開発に必要なソフトウェアのインストール... 4 (1)Java SE Development Kit (JDK) のインストール... 5 (2)Android SDK のインストール... 11 (3) 統合開発環境

More information

書式に示すように表示したい文字列をダブルクォーテーション (") の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf(" 情報処理基礎 "); printf("c 言語の練習 "); printf

書式に示すように表示したい文字列をダブルクォーテーション () の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf( 情報処理基礎 ); printf(c 言語の練習 ); printf 情報処理基礎 C 言語についてプログラミング言語は 1950 年以前の機械語 アセンブリ言語 ( アセンブラ ) の開発を始めとして 現在までに非常に多くの言語が開発 発表された 情報処理基礎で習う C 言語は 1972 年にアメリカの AT&T ベル研究所でオペレーションシステムである UNIX を作成するために開発された C 言語は現在使われている多数のプログラミング言語に大きな影響を与えている

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt ISO/IEC9126 & MISRA-C:2004 ベースソースコード品質診断 ~ MISRA-C:2004 ベース品質診断のご紹介 ~ 株式会社東陽テクニカソフトウェア ソリューション MISRA とは Motor Industry Software Reliability Association の略 ヨーロッパ自動車技術会 (MIRA) の下部組織 MIRA: Motor Industry

More information

3,, となって欲しいのだが 実際の出力結果を確認すると両方の配列とも 10, 2, 3,, となってしまっている この結果は代入後の配列 a と b は同じものになっていることを示している つまり 代入演算子 = によるの代入は全要素のコピーではなく 先をコピーする ため 代入後の a と b は

3,, となって欲しいのだが 実際の出力結果を確認すると両方の配列とも 10, 2, 3,, となってしまっている この結果は代入後の配列 a と b は同じものになっていることを示している つまり 代入演算子 = によるの代入は全要素のコピーではなく 先をコピーする ため 代入後の a と b は 配列 2 前回には 配列の基本的な使い方と拡張 for 文について学んだ 本日は配列に付いての追加の説明として 配列のコピー 文字列配列 ガーベジコレクション 多次元配列について学んでいく 配列のコピー配列を用意し その全ての要素を別の配列にコピーすることを考える まず 以下に間違った例を示していく プログラム例 1 public class Prog07_01 int[] a = 1, 2, 3,,

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

@ LL Future 2008/08/30 MORITA Hajime

@ LL Future 2008/08/30 MORITA Hajime @ LL Future 2008/08/30 MORITA Hajime http://steps.dodgson.org/ 自己紹介 : 森田創 本業雑用系 C++ プログラマなぜここに... 今日の立ち位置 ActionScript VM Tamarin 擁護派 ( という設定 ) ActionScript はよくわからないけど Tamarin は C++ なので安心

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン () 仮想マシン 復習 仮想マシンの概要 hsm 仮想マシン プログラム言語の処理系 ( コンパイラ ) 原始プログラム (Source program) コンパイラ (Compiler) 目的プログラム (Object code) 原始言語 (Source language) 解析 合成 目的言語 (Object Language) コンパイルする / 翻訳する (to compile

More information

Microsoft PowerPoint - CproNt02.ppt [互換モード]

Microsoft PowerPoint - CproNt02.ppt [互換モード] 第 2 章 C プログラムの書き方 CPro:02-01 概要 C プログラムの構成要素は関数 ( プログラム = 関数の集まり ) 関数は, ヘッダと本体からなる 使用する関数は, プログラムの先頭 ( 厳密には, 使用場所より前 ) で型宣言 ( プロトタイプ宣言 ) する 関数は仮引数を用いることができる ( なくてもよい ) 関数には戻り値がある ( なくてもよい void 型 ) コメント

More information

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを メトリクス利用によるリファクタリング対象の自動抽出 ローランドディー. ジー. 株式会社 第 4 開発部 SC02 小林光一 e-mail:kouichi.kobayashi@rolanddg.co.jp 2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない

More information

Microsoft Word - no15.docx

Microsoft Word - no15.docx 7. ファイルいままでは プログラムを実行したとき その結果を画面で確認していました 簡単なものならそれでもいいのですか 複雑な結果は画面で見るだけでなく ファイルに保存できればよいでしょう ここでは このファイルについて説明します 使う関数のプロトタイプは次のとおりです FILE *fopen(const char *filename, const char *mode); ファイルを読み書きできるようにする

More information

教材ドットコムオリジナル教材 0から始めるiアプリ (4) 0 から始める i アプリ (4) i アプリをプログラミングする際に必要なのは Java というプログラミング言語の基礎知識です 独自の命令や駆使してプログラミングをするわけですが Java というベースになっている言語を知らないでプログ

教材ドットコムオリジナル教材 0から始めるiアプリ (4) 0 から始める i アプリ (4) i アプリをプログラミングする際に必要なのは Java というプログラミング言語の基礎知識です 独自の命令や駆使してプログラミングをするわけですが Java というベースになっている言語を知らないでプログ 教材ドットコムオリジナル教材 0から始めるiアプリ (4) 0 から始める i アプリ (4) i アプリをプログラミングする際に必要なのは Java というプログラミング言語の基礎知識です 独自の命令や駆使してプログラミングをするわけですが Java というベースになっている言語を知らないでプログラムを記述することはあり得ません 本節では 新規プロジェクトを続けて作る際の注意事項と 簡単な Java

More information

た場合クラスを用いて 以下のように書くことが出来る ( 教科書 p.270) プログラム例 2( ソースファイル名 :Chap08/AccountTester.java) // 銀行口座クラスとそれをテストするクラス第 1 版 // 銀行口座クラス class Account String name

た場合クラスを用いて 以下のように書くことが出来る ( 教科書 p.270) プログラム例 2( ソースファイル名 :Chap08/AccountTester.java) // 銀行口座クラスとそれをテストするクラス第 1 版 // 銀行口座クラス class Account String name クラス ( 教科書第 8 章 p.267~p.297) 前回は処理をまとめる方法として メソッドについて学習した 今回はメソッドとその処理の対象となるデータをまとめるためのクラスについて学習する このクラスはオブジェクト指向プログラミングを実現するための最も重要で基本的な技術であり メソッドより一回り大きなプログラムの部品を構成する 今回はクラスにおけるデータの扱いとクラスの作成方法 使用方法について説明していく

More information

システムインテグレータのIPv6対応

システムインテグレータのIPv6対応 システムインテグレータの IPv6 対応 2012 年 11 月 22 日株式会社 NTT データビジネスソリューション事業本部ネットワークソリューション BU 馬場達也 自己紹介 1995 年に NTT データに入社 R&D 部門でネットワークセキュリティの研究開発 現在は エンタープライズのお客様のネットワークの設計 構築 運用ビジネスを行う部門で新ネットワークサービスの開発を担当 2006 年

More information

目次 商標について.1 表記内容について.1 1 エディタ.2 1.1bluefish.2 1.2texi2html.2 2 科学.3 2.1chemtool.3 2.2Rasmol.3 2.3celestia.3 3 画像.4 3.1Blender.4 3.2tgif.4 4 数学.5 4.1ge

目次 商標について.1 表記内容について.1 1 エディタ.2 1.1bluefish.2 1.2texi2html.2 2 科学.3 2.1chemtool.3 2.2Rasmol.3 2.3celestia.3 3 画像.4 3.1Blender.4 3.2tgif.4 4 数学.5 4.1ge USB-KNOPPIX 追加可能 アプリケーション一覧 2009 年 07 月株式会社アルファシステムズ 目次 商標について.1 表記内容について.1 1 エディタ.2 1.1bluefish.2 1.2texi2html.2 2 科学.3 2.1chemtool.3 2.2Rasmol.3 2.3celestia.3 3 画像.4 3.1Blender.4 3.2tgif.4 4 数学.5 4.1geg.5

More information

データ構造とアルゴリズム論

データ構造とアルゴリズム論 第 1 章.Java による CG 作成方法 2 学習のねらい 1 先週に続いて Java 言語 (Eclipse 環境における ) を用いて CG( コンピュータグラフィックス ) を作成する方法の基礎を学習する 今回は ( 作成した )CG が自動的に再描画される様にするための処理 ( のプログラミング ) を学習する 今回の学習で Java による CG 作成方法を終了し 次週以降は CG 作成のアルゴリズムの学

More information

Microsoft PowerPoint - diip ppt

Microsoft PowerPoint - diip ppt 2006 年度デザイン情報学科情報処理 III 第 12 回マウスによる制御 ブロック崩し の部品 ボール直径 10pixel の円ラケット横 60pixel 縦 10pixel, マウスにより左右に移動ブロック横 50pixel 縦 20pixel,28 個 (7 個 4 段 ) 壁 ( フィールド ) 横 400pixel 縦 600pixel 2006 年度デザイン情報学科情報処理 III 2

More information

Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1

Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1 Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1 目次 1. はじめに 1.1. 背景と目的 1.2. ScratchとViscuit 1.3. ドリトル 2. 準備 2.1. ArduinoとRaspberry Pi 3. 演習内容 3.1. ドリトル 3.2. 指導内容例 4. まとめ 2 1. はじめに ( 背景 ) 原理 理解 活用社会 3 1. はじめに ( 背景 ) 原理

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

Microsoft PowerPoint - exp2-02_intro.ppt [互換モード]

Microsoft PowerPoint - exp2-02_intro.ppt [互換モード] 情報工学実験 II 実験 2 アルゴリズム ( リスト構造とハッシュ ) 実験を始める前に... C 言語を復習しよう 0. プログラム書ける? 1. アドレスとポインタ 2. 構造体 3. 構造体とポインタ 0. プログラム書ける? 講義を聴いているだけで OK? 言語の要素技術を覚えれば OK? 目的のプログラム? 要素技術 データ型 配列 文字列 関数 オブジェクト クラス ポインタ 2 0.

More information

ホストプログラム操作説明書

ホストプログラム操作説明書 様 インストール操作説明書 USB ドライバインストール操作説明 JT-KP41U 64bit 対応カードリーダー用 NOTE: Windows XP / Server 2003 / Windows Vista / Server 2008 / Windows 7 / Windows 8 / Server2012 対応 バージョン :1.1.0.0 受領印欄 パナソニックシステムネットワークス株式会社モビリティビジネスユニット

More information

GEC-Java

GEC-Java Copyright (C) Junko Shirogane, Waseda University 2019, All rights reserved. 1 プログラミング初級 (Java) 第 14 回継承 白銀純子 第 14 回の内容 継承 オーバーライド ポリモーフィズム Copyright (C) Junko Shirogane, Waseda University 2019, All rights

More information

<4D F736F F D CC82BD82DF82CC50438AC28BAB90DD92E82E646F63>

<4D F736F F D CC82BD82DF82CC50438AC28BAB90DD92E82E646F63> 利用のための PC 環境設定 電子入札に参加するためには 下記のハードウェア ソフトウェアが必要です ご準備をお願いします () ハードウェア Windows Vista/Windows7/Windows8. の場合項目要件 CPU Core Duo.6GHz 同等以上推奨メモリ OS の種類により要件が異なります Windows Vista/Windows7/Windows8.(3bit 版 )

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

リモートアクセスライト インストールガイド リモートアクセスライト インストールガイド 第 1. 1 版 2017 年 12 月 12 日

リモートアクセスライト インストールガイド リモートアクセスライト インストールガイド 第 1. 1 版 2017 年 12 月 12 日 リモートアクセスライト インストールガイド 第 1. 1 版 2017 年 12 月 12 日 改訂履歴 版数更新日付更新者更新内容 1.0 版 2017/05 FJ 新規作成 1.1 版 2017/12/12 NES I-1. 必要なミドルウェアの確認 Microsoft.NET Framework 4.x 移行 の確認は Windows7 のみを追記 I-3. アプリケーションの初回起動 登録コード

More information

プログラミングI第10回

プログラミングI第10回 プログラミング 1 第 10 回 構造体 (3) 応用 リスト操作 この資料にあるサンプルプログラムは /home/course/prog1/public_html/2007/hw/lec/sources/ 下に置いてありますから 各自自分のディレクトリにコピーして コンパイル 実行してみてください Prog1 2007 Lec 101 Programming1 Group 19992007 データ構造

More information