DAシンポ2003_SLD研_発表原稿

Size: px
Start display at page:

Download "DAシンポ2003_SLD研_発表原稿"

Transcription

1 DA JEITA SLD - JEITA E D A S L D NEC Copyright JEITA, All rights reserved

2 SLD Copyright JEITA, All rights reserved 3

3 SLD JEITA EDA (JEITA) EDA System Level Design SLD Physical Design Methodology PDM EDS Fair Copyright JEITA, All rights reserved 4

4 SLD EDA Copyright JEITA, All rights reserved 5

5 SLD SLD /EDA Copyright JEITA, All rights reserved 6

6 Copyright JEITA, All rights reserved SLDL(Rosetta) SystemC SpecC UML SLD (ASP -DAC) WS ( HW SW

7 Copyright JEITA, All rights reserved 9 HW/SW RTL I P I P D B HW I P I/F I P I P SW I P D B [SLD-5,6] 1999/12~2001/3

8 WS 2000/11 1. / IP Copyright JEITA, All rights reserved 10

9 ~2002/3 I/F Accellera GSRC IMEC STARC / SYDIC Copyright JEITA, All rights reserved 11 VSIA [SLD-4]

10 WS 2000/11 (2003/7 1. / IP EDA PSL Accellera) EDA SystemC OSCI), SystemVerilog Accellera) EDA SystemC OSCISynthesisWG Copyright JEITA, All rights reserved 12

11 WS 2000/11 1. / IMEC, GSRC, STARC 2. T G IP TG I/F TG EDA Copyright JEITA, All rights reserved 13

12 / HW/SW Copyright JEITA, All rights reserved 14

13 / / Copyright JEITA, All rights reserved 15

14 [SLD-5,6] HW/SW D B I P I P I P D B SW I P I/F I P HW I P S L D Copyright JEITA, All rights reserved 16

15 [SLD-5,6] HW/SW HW/SW D B I P I P I P D B SW I P I/F I P HW I P S L D Copyright JEITA, All rights reserved 17

16 HWSW Copyright JEITA, All rights reserved 18

17 Copyright JEITA, All rights reserved 19 HW/SW I P I P D B HW I P I/F I P I P SW I P D B [SLD-5,6] HW/SW HW

18 Copyright JEITA, All rights reserved HW/SW HW/SW I P I P D B HW I P I/F I P I P SW I P D B [SLD-5,6] HW/SW

19 3.1 HW/SW HW/SW HW/SW HW/SW HW/SW OS HW/SW?? Copyright JEITA, All rights reserved 22

20 HW/SW HW/SW HW/SW HW/SW HW/SW HW/SW HW/SW Copyright JEITA, All rights reserved 23

21 HW/SW HW/SW [ Co-sim 1-7 ] Copyright JEITA, All rights reserved 24

22 HW/SW SW HW Host Native Target Code + ISS Target Code + Cycle Accurate ISS Target Code + Processor RTL Model Abstract Function Layer Model Transaction Layer Model Transfer Layer Model RTL Model Copyright JEITA, All rights reserved 25

23 HW/SW Copyright JEITA, All rights reserved 26

24 HW/SW :99 AI : 304 HW/SW ( ) Copyright JEITA, All rights reserved 27

25 ( AI ) Copyright JEITA, All rights reserved 28

26 HW/SW HW/SW HW/SW Copyright JEITA, All rights reserved 29

27 Copyright JEITA, All rights reserved HW/SW I P I P D B HW I P I/F I P I P SW I P D B [SLD-5,6]

28 3.2 C C RTL EDA 6 Copyright JEITA, All rights reserved 31

29 RT RT UnTimed Function for( i=0; i<7; i++){ a = b * c; d = e * f; g = a + d; } Cycle Accurate for( i=0; i<7; i++){ a = b * c; clock(); d = e * f; clock(); g = a + d; clock(); } RT ( clk) current_state <= next_state; or ) case( current_state) s1 : i=0; a = b * c; i=i+1; flag=(i <7); next_state = s2; s2 : if( flag==1){ d = e * f; next_state = s3; } else{ next_state = s1; }. Copyright JEITA, All rights reserved 32

30 RTL C Copyright JEITA, All rights reserved 33

31 Copyright JEITA, All rights reserved 34

32 Copyright JEITA, All rights reserved 35

33 Copyright JEITA, All rights reserved 36

34 func1(); for( i =0; i < 10; i++ ){ a[i] = b[i] *c[i]; } for( i =0; i < 10; i++ ){ d[i] = e[i] *f[i]; } func2(); for C RTL func2() for VerilogHDLforkjoin Copyright JEITA, All rights reserved 37

35 for( i=0; i < 10; i++){ en1 = func1(i); en2 = func2(i); func3(en1); func4(en2); } func3() func4() RTL func3() func4() Copyright JEITA, All rights reserved 38

36 for( i =0; i <10; i++ ){ a = x * i; b = y * i; c[i] = b*2; } for( i=0; i < 10; i++ ){ func1(); func2(); func3(); } Copyright JEITA, All rights reserved 39

37 for( i=0; i < 10; i++){ en1 = func1(i); en2 = func2(i); func3(en1); func4(en2); } for( i =0; i < 10; i++ ){ a[i] = b[i] *c[i]; } for( i =0; i < 10; i++ ){ d[i] = e[i] *f[i]; } Copyright JEITA, All rights reserved 40

38 C Copyright JEITA, All rights reserved 41

39 Copyright JEITA, All rights reserved HW/SW I P I P D B HW I P I/F I P I P SW I P D B [SLD-5,6] HW

40 3.3 ( 70% ) RT Copyright JEITA, All rights reserved 43

41 [HV 2] [HV 3] HDL / Copyright JEITA, All rights reserved 44

42 PSL) w r_n ena_nhigh / / psl assert always wr_n); //p s l P S L ; Vendor PSL: Property Specification Language ( Sugar) [HV 5] Copyright JEITA, All rights reserved 45

43 ( ) Copyright JEITA, All rights reserved 46

44 IP Copyright JEITA, All rights reserved 47

45 OVA: OpenVera Assertion, OVL: Open Verification Library, PSL: Property Specification Language, SVA: SystemVerilog Assert ion Copyright JEITA, All rights reserved 48

46 Accellera SystemVerilog (Accellera) SystemVerilog3.1 HDVL (Hardware Design and Verification Language) DAC 03 [HV 5] [HV 8] SystemVerilog3.1powerful assertion testbench creation direct C I/F high level abstraction Unified assertions SystemVerilog3.0PSL OVA PSL (Accellera) 2003/1Language Reference ManualPSL SystemVerilog 3.1 Assertion e (IEEE P1647) Verisity 2003/6IEEE ( ) () Copyright JEITA, All rights reserved 49

47 / I P AccelleraSystemVerilog Assertion 3.1 PSL RTL ( ) Copyright JEITA, All rights reserved 51

48 Copyright JEITA, All rights reserved 53 HW/SW I P I P D B HW I P I/F I P I P SW I P D B [SLD-5,6] HW/SW HW/SW HW

49 /EDA / HW/SW HW RTL Copyright JEITA, All rights reserved 54

50 Copyright JEITA, All rights reserved 55

51 Copyright JEITA, All rights reserved 56 SLD HW/SW I P I P D B HW I P I/F I P I P SW I P D B

52 (MoC: Model of Computation) F S M MoC MoC Copyright JEITA, All rights reserved 57

53 MoC KPN P2 Philips SPADE P1 P4 P5 P3 P1 F I F O Copyright JEITA, All rights reserved 58

54 KPN untimed FIFO Copyright JEITA, All rights reserved 59

55 MoC KPN MPEG2 Pieter van der Wolf An MPEG-2 Decoder Case Study as a Driver for a System Level Design Methodology Copyright JEITA, All rights reserved 60

56 MoC Model of Computation Timed MoC Untimed MoC FSM Continuous Time Discrete Event Synchronous Reactive Tagged Signal Model Petri N e t Finite State Machine Discrete Time Synchronous Message Passing Asynchronous Message Passing Multi-Thread Graph Mescal Concurrency Representation Hierarchical Concurrent FSM Abstract CFSM Kahn Process Networks Communicating Sequential Processes Calculus of Communicating Systems Extended CFSM Dataflow Heterochronous DF MoC Co-design FSM Boolean DF Cyclo-Static DF MoC MoC Synchronous DF Homogeneous SDF Copyright JEITA, All rights reserved 64

57 MoC Copyright JEITA, All rights reserved 67

58 MoC KPN DF SDF CSP CFSMs ACFSMs ECFSMs MCR MTG HCFSM FIFO FIFO FIFO FIFO ( F I F O ) FIFO CFSMs : 1 ACFSMs : ECFSMs : FIFO FIFO FIFO FIFO Copyright JEITA, All rights reserved 72

59 Copyright JEITA, All rights reserved 74 MoC HCFSM MTG MCR : : CFSMs ACFSMs ECFSMs CSP SDF DF KPN

60 MoC SLD MoC Copyright JEITA, All rights reserved 76

61 Copyright JEITA, All rights reserved 77 ESTEREL VEM HCFSM MTG CoWare MTG MTG MCR MCR MCR ESTERELVHDL CFSMs ACFSMs ECFSMs CSP CSP DF SDF firing rule KPN K PN DF FIFO KPN

62 KPN DF SDF CSP CFSMs ACFSMs ECFSMs MCR MTG HCFSM CSP CSP CFSM MCR MTG MTG Copyright JEITA, All rights reserved 78

63 KPN/DF MoC SDF DSP CSP CFSMs/ACFSMs/ECFSMs MCR MTG MoC HCFSM Copyright JEITA, All rights reserved 81

64 10MoC / MoC MoC/ MoC /EDA / MoC MoC MoC Copyright JEITA, All rights reserved 82

65 Copyright JEITA, All rights reserved 83

66 SoC SoC SoC 1 / R T L / 1 /2 2 /3 1 /3 1/10 Copyright JEITA, All rights reserved 84

67 L. Benini & G. De Micheli, System-level power optimization: Techniques and Tools, ISLPED99 A) HW/SW partitioning Avalanche B) Instruction -level power optimization DAC 2000 No.18.3 DAC 2000 No.21.1 C) Control-d a t a-flow transformation HW CDFG D) Memory optimization techniques ATOMIUM Copyright JEITA, All rights reserved 85

68 E) Interface power optimization I C C A D D. 1 CODES F) Variable -voltage techniques G) Dynamic power management H ) Approximate signal processing AE Copyright JEITA, All rights reserved 86

69 I. II. III. IV. HW CMOS P= i V dd2 f clk ASIC Copyright JEITA, All rights reserved 87

70 ( H W CPU Copyright JEITA, All rights reserved 88

71 HW SW C CPU Copyright JEITA, All rights reserved 89

72 ( H W CPU A T O M I U M Copyright JEITA, All rights reserved 90

73 Copyright JEITA, All rights reserved 91 ATOMIUM ATOMIUM/Analysis ATOMIUM/Analysis C C ATOMIUM/SBO ATOMIUM/SBO ATOMIUM/MC ATOMIUM/MC ATOMIUM/RACE ATOMIUM/RACE ATOMIUM tools Analysis/SBO/MC/RACE :ATOMIUM 1.2.3(20034 IMEC DEMOAnalysis ATOMIUM tools Analysis/SBO/MC/RACE :ATOMIUM 1.2.3(20034 IMEC DEMOAnalysis imec be/design/multimedia/atomium

74 ATOMIUM MPEG4 40k +120k C ATOMIUM Analysis 3 0 % GSM auto-correlation Medical Imaging MPEG4 motion-compensation ATOMIUM MC5 % 4 0 % Voice -Coding 2k C ATOMIUM MC 71KB 5 5 K B i m e c be/design/multimedia/ atomium Copyright JEITA, All rights reserved 92

75 1 SW H W 1 HW 2 HW HW/SW 2 Copyright JEITA, All rights reserved 93

76 HW/SW Avalanche ( H W CPU HW ORINOCO Power Buster- D Platune Copyright JEITA, All rights reserved 94

77 ORINOCO ChipVision C/C++ VHDL C/C++ VHDL ORINOCO DALE * > + ORINOCO RIO ORINOCO BEACH Presskit Press_Presentation.ppt -Presentation_2_02.pdf ORINOCO yes ok? R T L yes ok? no no Copyright JEITA, All rights reserved 95

78 ORINOCO Copyright JEITA, All rights reserved 96

79 Platune cs ucr edu/~dalton/ cs ucr edu/~vahid/pubs/tcad02_platune_draft pdf MIPS CPU I$ Bus CPU D$ Bus I-Cache D-Cache $ MEM Bus Memory Bridge Peripheral Bus UART DMA DCT CODEC Power S W Power/Performance Model ~ K inst/sec, GateSim>2000x Power GateSim< 10% Copyright JEITA, All rights reserved 97

80 AvalanchePlatune J.Henkel, Yanbing Li. Avalanche: An Environment for Design Space Exploration and Optimization of Low-Power Embedded Systems. IEEE trans on VLSI Vol10. No.4, pp AUGUST 2002 QPT ISS Dinero Platune - ASIC VARCHSYN CYBER CSIM HW Copyright JEITA, All rights reserved 98

81 1 CPU HW/SW 2 CPU HW/SW 3 CPU HW/SW Copyright JEITA, All rights reserved 99

82 1 HW/SW CPU C P U CPU Platune CPU MIPS) HW/SW I/F RTL Platune HW Copyright JEITA, All rights reserved 100

83 2 HW/SW 1 HW HW HW SW HW HW SW HW SW O RINOCO 2 Copyright JEITA, All rights reserved 101

84 3 CPU CPU CPU XX CPU Platune Copyright JEITA, All rights reserved 102

85 DAC ICCAD CODES SLD ATOMIUM C HW Copyright JEITA, All rights reserved 103

86 AvalanchePlatune CPU CPU Platune HW/SW HW/SW HW ORINOCO HW Platune CPU CPU Copyright JEITA, All rights reserved 104

87 EDA DB Copyright JEITA, All rights reserved 105

88 MoC MoC) RTL) MoC Copyright JEITA, All rights reserved 107

89 MoC) RTL) HW/SW HW Copyright JEITA, All rights reserved 108

90 (1) (2) (3) (4) MoC (5) (6) (7) Copyright JEITA, All rights reserved 110

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

- 2 Copyright (C) 2006. All Rights Reserved.

- 2 Copyright (C) 2006. All Rights Reserved. - 2 Copyright (C) 2006. All Rights Reserved. 2-3 Copyright (C) 2006. All Rights Reserved. 70-4 Copyright (C) 2006. All Rights Reserved. ...1...3...7...8 1...9...14...16 2...18...20...21 3...22...23...23...24

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Copyright 2008 All Rights Reserved 2

Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 1 Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 3 Copyright 2008 All Rights Reserved 4 Copyright 2008 All Rights Reserved 5 Copyright 2008 All

More information

ハピタス のコピー.pages

ハピタス のコピー.pages Copyright (C) All Rights Reserved. 10 12,500 () ( ) ()() 1 : 2 : 3 : 2 4 : 5 : Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

/

/ / CoMET とは? APPLICATIONS IP -PROCESSOR IP Application Programs (C/C++) Reactive Operating System Kernels PROPRIETARY OPERATING SYSTEM IP BUS, CACHE, TLB IP SOFTWARE TEST SETS Interactive Software Debugging

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

SystemC H2’2000 Accomplishments

SystemC H2’2000 Accomplishments SystemC 2004 1 29 (Chairman of OSCI) http://www.systemc.org/ OSCI SystemC SystemC 2 OSCI Forte (2003 8 ) ST Microelectronics (2003 11 ) Board Member Alain M. Clouard Officer (2003 8 ) Chairman : ( ) President

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

初心者にもできるアメブロカスタマイズ新2016.pages

初心者にもできるアメブロカスタマイズ新2016.pages Copyright All Rights Reserved. 41 Copyright All Rights Reserved. 60 68 70 6 78 80 Copyright All Rights Reserved. FC2 97 Copyright All Rights Reserved. Copyright All Rights Reserved. Copyright All Rights

More information

弥生会計/やよいの青色申告

弥生会計/やよいの青色申告 c c c c c 1 c c c c c c c c c c c 2 3 c c 4 a a a a a a a a a

More information

Copyright 2008 NIFTY Corporation All rights reserved. 2

Copyright 2008 NIFTY Corporation All rights reserved. 2 Copyright 2008 NIFTY Corporation All rights reserved. 2 Copyright 2008 NIFTY Corporation All rights reserved. 3 Copyright 2008 NIFTY Corporation All rights reserved. 4 Copyright 2008 NIFTY Corporation

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Copyright All Rights Reserved. -2 -!

Copyright All Rights Reserved. -2 -! http://ameblo.jp/admarketing/ Copyright All Rights Reserved. -2 -! Copyright All Rights Reserved. -3- Copyright All Rights Reserved. -4- Copyright All Rights Reserved. -5 - Copyright All Rights Reserved.

More information

IPA:セキュアなインターネットサーバー構築に関する調査

IPA:セキュアなインターネットサーバー構築に関する調査 Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved.

More information

Microsoft Word - 最終版 バックせどりismマニュアル .docx

Microsoft Word - 最終版 バックせどりismマニュアル .docx ism ISM ISM ISM ISM ISM ISM Copyright (c) 2010 All Rights Reserved. Copyright (c) 2010 All Rights Reserved. Copyright (c) 2010 All Rights Reserved. ISM Copyright (c) 2010 All Rights Reserved. Copyright

More information

untitled

untitled IBM i IBM GUI 2 JAVA JAVA JAVA JAVA-COBOL JAVA JDBC CUI CUI COBOL DB2 3 1 3270 5250 HTML IBM HATS WebFacing 4 2 IBM CS Bridge XML Bridge 5 Eclipse RSE RPG 6 7 WEB/JAVA RPG WEB 8 EBCDIC EBCDIC PC ASCII

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

unitech PA600 Rugged En PDA - RFID HF - unitech G Ver.1.2

unitech PA600 Rugged En PDA - RFID HF - unitech G Ver.1.2 unitech PA600 Rugged En PDA - RFID HF - unitech 400618G Ver.1.2 - 2009 Unitech Oracle Embedded Software Licensing Program FCC - i 16 PA600 1. 5V/2A AC USB DC 2. PA600 DC 8 SDRAM 60 C C C C ii PA600 RFID

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

橡松下発表資料.PDF

橡松下発表資料.PDF ... TV TV MPEG2 1394 JAVA HTML BML LSI Bluetooth 802.11 Linux PLC Internet ITRON 1. 2. TV -1-2 -3 3. 1. 2. TV -1-2 -3 3. 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 96/9 PerfecTV 98/4 SkyPerfecTV

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

untitled

untitled IBM i IBM AS/400 Power Systems 63.8% CPU 19,516 43,690 25,072 2002 POWER4 2000 SOI 2005 2004 POWER5 2007 POWER6 2008 IBM i 2004 eserver i5 2000 eserver iseries e 2006 System i5 Systems Agenda 2008 Power

More information

2006/6/16 2006/9/1 2007/11/9 () 2011/4/21 2005 2006 3 (2005 12 ())2005 12 13 2011 4 21 2011 4 ii

2006/6/16 2006/9/1 2007/11/9 () 2011/4/21 2005 2006 3 (2005 12 ())2005 12 13 2011 4 21 2011 4 ii 2011 4 2006/6/16 2006/9/1 2007/11/9 () 2011/4/21 2005 2006 3 (2005 12 ())2005 12 13 2011 4 21 2011 4 ii 1 2 A 3 B C IT NISD-K304-101 NISD-K305-101 iii ST ST DM6-08-101 2011 4 ST ST NISD-K304-101 NISD-K305-101

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

book

book Bibliotheca21 Personal 3020-7-245-30 P-26D3-A114 Bibliotheca21 Personal 01-30 OS Windows 2000 Windows Server(R) 2003 Windows XP Windows Server(R) 2008 Windows Vista(R) Windows 7 Adobe Adobe Systems Incorporated

More information

URL AdobeReader http://www.adobe.co.jp/products/acrobat/readstep2.html - 2 Copyright (C) 2008. All Rights Reserved.

URL AdobeReader http://www.adobe.co.jp/products/acrobat/readstep2.html - 2 Copyright (C) 2008. All Rights Reserved. URL AdobeReader http://www.adobe.co.jp/products/acrobat/readstep2.html - 2 Copyright (C) 2008. All Rights Reserved. - 3 Copyright (C) 2008. All Rights Reserved. ASP() ASP PayPal - 4 Copyright (C) 2008.

More information

システムオンチップ技術

システムオンチップ技術 (SoC) 2004/6/11 Yukihiro Nakamura e-mail: nakamura@kuee.kyoto-u.ac.jp u.ac.jp (VLSI) () VLSI DIPS IBM370 CPU MH MB GB DIPS-11201975 VAIO LSI Sony VAIO CPU MH MB GB Pentium () () V,S.,B Sun Micro

More information

S1D13505F00Aデータシート

S1D13505F00Aデータシート PF982-02 Embedded RAMDAC LCD/CRT Controller 1 2 3 Power Management CLKI Oscillator SH-4 BUS WE0# BS# RD/WR# RD# A[20:0] CKIO WE0# RD/WR# AB[20:0] DB[15:0] WE1# BS# RD# M/R# CS# BUSCLK SUSPEND# A[21] CSn#

More information

Microsoft PowerPoint - sdf2007_sc3

Microsoft PowerPoint - sdf2007_sc3 システム デザイン フォーラム 2007 TLM 標準化の動向について 半導体理工学研究センター開発第 2 部高位設計開発室武井勉 目次 1. なぜTLMか 2. 各団体の活発な標準化活動 3. TLM 普及のための次のステップ 4. STARCの取り組み 2 1. なぜ TLM か SoC の複雑大規模化に対して 設計抽象レベルの高位化が必須 抽象レベルの高位化再利用システム規模合成 RTL TLM

More information

ACE Associated Computer Experts bv

ACE Associated Computer Experts bv CoSy Application CoSy Marcel Beemster/Yoichi Sugiyama ACE Associated Compiler Experts & Japan Novel Corporation contact: yo_sugi@jnovel.co.jp Parallel Architecture 2 VLIW SIMD MIMD 3 MIMD HW DSP VLIW/ILP

More information

untitled

untitled mitsuya Copyright (C) 2007. All Rights Reserved. 1/1 mitsuya Copyright (C) 2007. All Rights Reserved. 2/2 mitsuya Copyright (C) 2007. All Rights Reserved. 3/3 mitsuya Copyright (C) 2007. All Rights Reserved.

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Infoprint 250 GA

Infoprint 250 GA Infoprint 250 GA88-0164-00 Infoprint 250 GA88-0164-00 ! viii 2000 5 Copyright International Business Machines Corporation 2000. All rights reserved. ii Infoprint 250 ...III... VI...VII... VIII... VIII...IX

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

- 2 Copyright (C) 2009. All Rights Reserved.

- 2 Copyright (C) 2009. All Rights Reserved. - 2 Copyright (C) 2009. All Rights Reserved. - 3 Copyright (C) 2009. All Rights Reserved. - 4 Copyright (C) 2009. All Rights Reserved. - 5 Copyright (C) 2009. All Rights Reserved. - 6 Copyright (C) 2009.

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

日本感性工学会論文誌

日本感性工学会論文誌 Vol.13 No.2 pp.391-402 2014 PROGRESS Consideration of the Transition in Mitsubishi Electric Corporate Website Design Transition in Response to Environmental Change and Record through the Case of Corporate

More information

JIIAセミナー

JIIAセミナー Digital Interface IIDC URL teli.co.jp/ E-Mail http://www.toshiba-teli.co.jp teli.co.jp/ s-itokawa@toshiba-teli.co.jpteli.co.jp EIA,NTSC EIA,NTSC 4-5 JIIA JIIA - / Digital Interface Digital Interface IEEE1394

More information

how-to-decide-a-title

how-to-decide-a-title Contents 3 4 5 6 8 13 13 14 14 15 15 18 19 Copyright 2014 All Rights Reserved. 2 / 21 URL AdobeReader ( ) http://www.adobe.co.jp/products/acrobat/readstep2.html Copyright 2014 All Rights Reserved. 3 /

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

健康保険組合のあゆみ_top

健康保険組合のあゆみ_top (1912) (1951) 2,00024,000 (1954) (1958) (1962) (1965) (1968) (1969) (1971) (1972) (1973) (1974) (1976) (1978) (1980) (1982) (1983) (1984) (1985) (1987) (1988) (1989) (1990) (1991) (1992) (1994) (1995)

More information

スライド タイトルなし

スライド タイトルなし (1) - E-Mail: katto@waseda.jp Y U V R G B (1/30 ) RGB / YUV = B G R V U Y 0.31 0.52 0.21 0.32 0.28 0.60 0.11 0.59 0.30 RGB YUV CCIR 601 4:4:4 4:2:2 4:2:0 Y Y Y U V U V U V YUVUV UV 4:2:0 4:2:2 (RGB8 )

More information

44 4 I (1) ( ) (10 15 ) ( 17 ) ( 3 1 ) (2)

44 4 I (1) ( ) (10 15 ) ( 17 ) ( 3 1 ) (2) (1) I 44 II 45 III 47 IV 52 44 4 I (1) ( ) 1945 8 9 (10 15 ) ( 17 ) ( 3 1 ) (2) 45 II 1 (3) 511 ( 451 1 ) ( ) 365 1 2 512 1 2 365 1 2 363 2 ( ) 3 ( ) ( 451 2 ( 314 1 ) ( 339 1 4 ) 337 2 3 ) 363 (4) 46

More information

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N GPU 1 1 2 1, 3 2, 3 (Graphics Unit: GPU) GPU GPU GPU Evaluation of GPU Computing Based on An Automatic Program Generation Technology Makoto Sugawara, 1 Katsuto Sato, 1 Kazuhiko Komatsu, 2 Hiroyuki Takizawa

More information

i ii i iii iv 1 3 3 10 14 17 17 18 22 23 28 29 31 36 37 39 40 43 48 59 70 75 75 77 90 95 102 107 109 110 118 125 128 130 132 134 48 43 43 51 52 61 61 64 62 124 70 58 3 10 17 29 78 82 85 102 95 109 iii

More information

COINS 5 2.1

COINS 5 2.1 COINS (0501699) 20 21 2 5 1 3 1.1....................................... 3 1.2..................................... 4 1.3....................................... 4 2 COINS 5 2.1 COINS..................................

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

o 2o 3o 3 1. I o 3. 1o 2o 31. I 3o PDF Adobe Reader 4o 2 1o I 2o 3o 4o 5o 6o 7o 2197/ o 1o 1 1o

o 2o 3o 3 1. I o 3. 1o 2o 31. I 3o PDF Adobe Reader 4o 2 1o I 2o 3o 4o 5o 6o 7o 2197/ o 1o 1 1o 78 2 78... 2 22201011... 4... 9... 7... 29 1 1214 2 7 1 8 2 2 3 1 2 1o 2o 3o 3 1. I 1124 4o 3. 1o 2o 31. I 3o PDF Adobe Reader 4o 2 1o 72 1. I 2o 3o 4o 5o 6o 7o 2197/6 9. 9 8o 1o 1 1o 2o / 3o 4o 5o 6o

More information

! 行行 CPUDSP PPESPECell/B.E. CPUGPU 行行 SIMD [SSE, AltiVec] 用 HPC CPUDSP PPESPE (Cell/B.E.) SPE CPUGPU GPU CPU DSP DSP PPE SPE SPE CPU DSP SPE 2

! 行行 CPUDSP PPESPECell/B.E. CPUGPU 行行 SIMD [SSE, AltiVec] 用 HPC CPUDSP PPESPE (Cell/B.E.) SPE CPUGPU GPU CPU DSP DSP PPE SPE SPE CPU DSP SPE 2 ! OpenCL [Open Computing Language] 言 [OpenCL C 言 ] CPU, GPU, Cell/B.E.,DSP 言 行行 [OpenCL Runtime] OpenCL C 言 API Khronos OpenCL Working Group AMD Broadcom Blizzard Apple ARM Codeplay Electronic Arts Freescale

More information

untitled

untitled 4 1 4.1................................................. 1 4.1.1........................................ 1-1 4 17 11 30 4.1 2001 49% 2,400 47% 6,000 2001 390 8% 2005 3000 1000 IT 1 ADSL(Asymmetric Digital

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Solibri Model Checker 9.5 スタードガイド

Solibri Model Checker 9.5 スタードガイド SOLIBRI MODEL CHECKER V9.5 Copyright 2014 Solibri Oy All Rights Reserved 1 Copyright 2014 Solibri Oy All Rights Reserved 2 Copyright 2014 Solibri Oy All Rights Reserved 3 Copyright 2014 Solibri Oy All

More information

USB FDD ユーザーズマニュアル

USB FDD ユーザーズマニュアル Universal Serial Bus Interface External Floppy Disk Drive Unit USB FDD For USB FDD Driver CD-ROM P/N 139060-02 Copyright 1999-2001 Y-E Data, Inc. All Rights Reserved. USB FDD USB FDD USB FDD VCCI Adobe

More information

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

PowerPoint Presentation

PowerPoint Presentation Gen3 対応! PCI-Express 採用 SoC の検証も ZeBu におまかせください! Big emulators come in small packages ZeBu とは? 汎用 FPGA をベースにすることで優れた投資対効果と革新性を実現した論理エミュレータです Xilinx Virtex Roadmap V8000 LX200 LX330 130nm ZeBu-ZV ZeBu-XL

More information

NW-E023F / E025F / E026F

NW-E023F / E025F / E026F OS IBM PC/AT Windows XP Home Edition Service Pack 2 /Windows XP Professional Service Pack 2 / Windows XP Media Center Edition 2004 Service Pack 2 /Windows XP Media Center Edition 2005 Service Pack 2 /Windows

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT

Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT 日本 EDA 標準化の推進状況 IEEE-SA 東京セミナー 2008 年 5 月 14 日 JEITA EDA 技術専門委員会 特別委員 IEC TC93 WG2 コ コンベナ NEC システムテクノロジー ( 株 ) CWB 事業推進室テクニカル ディレクター小島智 1 はじめに EDA とは? EDA 標準の位置付け EDA 標準のエレクトロニクス産業への貢献 JEITA EDA 技術専門委員会での

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

I

I I II III IV V VI VII VIII IX X XI XII XIII XIV 1. 2 3 4 5 2. 6 7 8 3. 1 2 3 9 4 5 10 6 11 4. 1 2 3 1 2 12 1 2 3 1 2 3 13 14 1 2 1 15 16 1. 20 1 21 1 22 23 1 2 3 4 24 1 2 ok 25 1 2 26 1 2 3 27 2. 28

More information

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2 ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) http://www.microfan.jp/ http://store.shopping.yahoo.co.jp/microfan/ http://www.microfan.jp/shop/ 2017 4 Copyright c 2017 MicroFan, All Rights Reserved. i 1 ESP32-KEY-KIT-R1

More information

PPTテンプレート集 ver.1.0

PPTテンプレート集 ver.1.0 Copyright 2012 Radishbo-ya co., Ltd All Rights Reserved. 1 !!!!!!!!!! Copyright 2012 Radishbo-ya co., Ltd All Rights Reserved. 2 Copyright 2012 Radishbo-ya co., Ltd All Rights Reserved. Copyright 2012

More information

fiš„v8.dvi

fiš„v8.dvi (2001) 49 2 333 343 Java Jasp 1 2 3 4 2001 4 13 2001 9 17 Java Jasp (JAva based Statistical Processor) Jasp Jasp. Java. 1. Jasp CPU 1 106 8569 4 6 7; fuji@ism.ac.jp 2 106 8569 4 6 7; nakanoj@ism.ac.jp

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

BE-EPK732 2007 Panasonic Cycle Technology Co., Ltd. All Rights Reserved. 2 4 9 12 14 20 21 22 24 26 28 29 30 31 32 33 34 36 3 5 4 6 7 9 8 10 11 13 12 1. 5. 6. 3. 7. 2. 4. 15 14 16 17 18 19 20 21 1. 2.

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information