g2-soc-fpga-implementation-mw

Size: px
Start display at page:

Download "g2-soc-fpga-implementation-mw"

Transcription

1 HW/SW のパフォーマンス解析 最適化および協調設計 MathWorks Japan アプリケーションエンジニアリング部松本充史 2015 The MathWorks, Inc. 1

2 コード生成ツール 最適化された C/HDL コードが生成されますか? 各種アルゴリズム沢山詰め込みたい マルチコア CPU でマルチタスクのシミュレーションできますか? モータ制御における複数のタスク DDR 使えますか? FPGA Processor 間のデータ転送 画像処理システムにおけるフレームバッファ 2

3 I/O Interface アプリケーションを SoC FPGA に実装する際の設計要素 構成要素 Processor FPGA I/O 接続要素 内部バス 共有メモリー 要素間の通信方法 レジスタアクセス 共有メモリ プロトコル DDR 1. アーキテクチャの探索 検討 GPIO Processor Controller FPGA 2. 各構成要素 ( コード ) の最適化 ADC CAN Bus TCP/IP DAC PWM HDMI 3

4 I/O Interface Processor タスク 共有メモリを含めた SoC 設計 解析 実装 SoC Blockset TM 提供機能 Processor のタスク実行タイミング DDR 共有メモリ レジスタのモデリングと性能解析 Processor Controller FPGA GPIO ADC I/O ブロック (AD/DA, HDMI, SW, TCP/IP など ) Bus DAC PWM UDP TCP/IP HDMI 4

5 SoC Blockset モデル例 (1/3) :Processor マルチタスクモデル UDP( 非同期データ ) 入力 非同期イベント タスクマネージャ Processor アルゴリズム 同期データ入力 2 つのタスク 1. 非同期タスク 2. 同期タスク 5

6 Processor のタスク実行の概念 タスクを実行中に それよりも優先度の高いタスクがトリガされると中断に移行 作成 開始 待機待機待機 実行 実行 中断 実行 中断 ( プリエンプション ) 完了 アプリケーションが起動して作成された状態 タイマーやイベントなど実行を待機している状態優先度が高いタスクが実行状態になる コードを実行している状態完了すると待機状態に移行 タスクが横取りされ実行待ち状態 6

7 Processor のタスク実行タイミングの解析例 Task Manager ブロックでタスクの実行タイミングを管理 周期 イベント ( 非同期 / 周期 ) 実行時間 ( 平均 最小 / 大 標準偏差 ) 実行するコア 各タスクで実行される処理を別サブシステム化 3 つの周期タスクのトリガ 7

8 タスク実行タイミングの可視化 ノーマルモード : シミュレーション結果エクスターナルモード : 実機実行結果 実行 Task1@Core0 タスクの実行タイミング ( 右図 ) 待機 中断 Task2@Core0 実行時間のヒストグラム ( 下図 ) >>soctasktimes Task3@Core1 各コアの実行状態 8

9 I/O Interface Processor タスク 共有メモリを含めた SoC 設計 解析 実装 SoC Blockset TM 提供機能 Processor のタスク実行タイミング DDR 共有メモリ レジスタのモデリングと性能解析 Processor Controller FPGA GPIO ADC I/O ブロック (AD/DA, HDMI, SW, TCP/IP など ) Bus DAC PWM UDP TCP/IP HDMI 9

10 メモリ / レジスタ チャネルモデル 従来モデル プロセッサ データ 従来は簡略化してモデリング FPGA SoC Blockset プロセッサ データ チャネル ( レジスタ / 共有メモリ ) データ FPGA コントロール コントロール レジスタ, 共有メモリのチャネルモデル 10

11 SoC Blockset モデル例 : 信号処理 通信システム AD/DA メモリ FPGA Processor AXI4-Stream to Software via DMA TCP/UDP 通信 FPGA アルゴリズム レジスタ Processor FPGA Processor アルゴリズム 11

12 SoC Blockset モデル例 : 画像処理システム HDMI In Controller 共有メモリに対して Read/Write 要求を調停 HDMI Out FPGA アルゴリズム フレームバッファ AXI4-Stream Video Frame Buffer 外部 IP によるメモリトラフィック HDMI 出力バッファのメモリトラフィック 12

13 チャネルブロックの機能 Controller:1 つまたは複数の Channel ブロックと接続し メモリトランザクションの調停を行う ログを取ってパフォーマンス解析する機能を持つ Channel: 共有メモリを介して I/O, Processor, FPGA 間のストリーミングでデータ転送を行うためのブロック ストリームデータを Controller ブロックへのバースト信号に変換する Traffic Generator: パフォーマンス解析のために HDMI I/O などのモデル化していないメモリトランザクションをシミュレーションで発生させる Register Channel: FPGA Processor または Processor FPGA 通信のためのレジスタ Read/Write を行うためのブロック 13

14 共有メモリのプロトコルの種類 (1/2) ストリームでデータ転送 プロトコル インターフェース仕様 FPGA Proce ssor AXI4-Stream to Software via DMA FPGA AXI4-Stream FIFO FPGA DataIn ValidIn ReadyOut DataOut ValidOut ReadyIn ランダムアクセスでデータ転送 FPGA AXI4-Random Access FPGA wr_addr wr_length wr_valid wr_ready wr_bvalid wr_complete DataIn wrctrlin wrctrlout DataOut rdctrlout RdCtrlIn rd_aready rd_dvalid rd_addr rd_length rd_avalid rd_dready 14

15 共有メモリのプロトコルの種類 (2/2) プロトコル ストリームでビデオデータ転送 FPGA FPGA AXI4-Stream Video FIFO インターフェース仕様 PixelIn PixelOut CtrlIn CtrlOut ReadyOut ReadyIn hstart hend vstart vend valid ストリームでビデオデータ転送 FPGA FPGA PixelIn CtrlIn PixelOut CtrlOut hstart hend vstart AXI4-Stream Video Frame Buffer FSyncIn/Outはフレーム同期信号 ReadyOut FSyncIn ReadyIn FSyncOut vend valid 15

16 画像処理システムのメモリトラフィックの解析 シミュレーションで複数トラフィックの解析 メモリの最大帯域幅 許容レイテンシを超えないか? 外部 IP によるトラフィックによりオーバーフロー 外部 IP によるメモリトラフィック レイテンシが急増 FPGA のフレームバッファ HDMI 出力バッファのメモリトラフィック 16

17 I/O Interface SoC へのフルチップ実装 :SoC Builder SoC FPGA ボードにビルド ロード 実行するツール (SoC Blockset 機能 ) モデルチェック メモリマップのレビュー C/HDL コード生成 コンパイル Embedded Coder/HDL Coder が必要 実行ファイルのダウンロード Processor DDR Controller Bus FPGA GPIO ADC DAC CAN TCP/IP PWM HDM I 17

18 FPGA 実機のメモリパフォーマンスの解析 FPGA 実機動作の帯域解析 実機動作ログ スループット (MB/s) レイテンシ (sec) FPGA 実機のメモリトラフィック解析 ( フレームバッファの Read/Write) DDR Controller FPGA AXI Master IP JTAG スループット (MB/s) AXI Interconnect Monitor IP Master 1 Master 2 Master N レイテンシ (sec) 18

19 I/O Interface アプリケーションを SoC FPGA に実装する際の設計要素 構成要素 Processor FPGA I/O 接続要素 内部バス 共有メモリー 要素間の通信方法 レジスタアクセス 共有メモリ プロトコル Processor CAN DDR 1. アーキテクチャの探索 検討 Controller Bus FPGA TCP/IP GPIO ADC 2. 各構成要素 ( コード ) の最適化 DAC PWM HDMI 19

20 I/O Interface 各コンポーネントのコード生成で考慮すること Simulink 処理の切り分け Algorithm 1 Algorithm 2 Embedded Coder C HDL HDL Coder TM 処理速度 ROM/RAM 容量実行タイミング Processor Controller Bus FPGA GPIO ADC DAC 回路リソース Clock Freq タイミング設計固定小数点 PWM CAN TCP/IP HDMI 20

21 C vs. HDL コード生成における基本モデリングパターン C モデリング 処理内容を記述 モデル周期は Processor の 1 サイクル周期 Delay も 1 サイクル遅延 HDL モデリング クロック同期用パイプライン設計 Delay ブロック Flip Flop 回路 モデル周期 = Clock 周期 >> Processor 周期 Delay は 1CLK 遅延 クロックレートパイプライン設定 モデル周期 Clock 周期 HDL プロパティ :DistributedPipelining で Delay を自動挿入 パイプライン設計の省力化 21

22 C コード生成における同一処理の最適化 : 処理速度向上 C モデリング 複数の同一処理デフォルト設定 : 再利用可能関数化され ROM 削減 サブシステムパラメータ : 関数のパッケージ化 インライン ROM 増大 / 処理速度向上 22

23 HDL コード生成における同一処理の最適化 : 回路リソース削減 HDL モデリング カスケード接続 or 並列接続の同一処理 処理回数分の回路リソース消費 HDL プロパティ :SharingFactor でリソースを時分割で共有化 スループット低下 / 回路リソース削減 23

24 C コード生成におけるメモリ消費削減 C モデリング Simulink.Signalオブジェクトで変数の再利用 同一信号 状態 サブシステム間の信号 要素数の多い変数を再利用 Signal ストレージクラス >Reusable RAM 容量削減 例 :Reusable 無し out = (2.0 * in + 1.0) + ud; ud = out; Reusable 適用 out = (2.0 * in + 1.0) + out; 24

25 コード生成アドバイザーを使ってモデリングとコンフィグのチェック C モデリング コード生成アドバイザーにより目的に応じた最適化コンフィグ > コード生成 > コード生成の目的 > 優先順位が設定された目的 優先する目的を選択 モデリングとコンフィグのチェックを実行 25

26 HDL コード生成における固定小数点設計 符号の有無 ビット幅 小数点位置 オーバーフロー S 量子化誤差 許容誤差範囲で最小のビット幅設計 Fixed-Point Designer 機能 :fxpopt 26

27 許容誤差ベースの固定小数点設定最適化 :fxpopt 任意ポイントで許容誤差を設定 許容誤差を満たす最小ビット幅に最適化 浮動 固定小数点モデル 固定小数点最適化モデル 27

28 ネイティブ浮動小数点での HDL 生成 HDL Coder 機能 固定小数点 オーバーフロー可能性 浮動小数点 広ダイナミックレンジ ネイティブ浮動小数点 ターゲット依存しないHDL 生成 Double / Singleデータ型対応 多数の算術演算をサポート exp, log, power, atan/sin/cos プロトタイピング開発期間の短縮 広ダイナミックレンジ 精度 ユーザ事例 : DEMCON 社はプロト開発期間を 1/7 に短縮 28

29 C / HDL 生成モデリングパターンを示したガイドライン C モデリング MAAB モデリングガイドライン Simulink ヘルプドキュメント >> web(fullfile(docroot, 'simulink/modelingguidelines.html')) R2019a/simulink/modeling-guidelines.html Embedded Coder Tips 集 技術サポート宛てにお問い合わせ下さい HDL モデリング HDL Modeling Guideline R2019a 以降の HDL Coder ヘルプドキュメント >> web(fullfile(docroot, 'hdlcoder/modelingguidelines-for-hdl-code-generation.html')) /hdlcoder/modeling-guidelines-for-hdl-codegeneration.html 旧バージョン R2014a 版は技術サポート宛てにお問い合わせ下さい 29

30 I/O Interface まとめ Processor のタスク メモリなど含めたアーキテクチャの早期検討 C コード HDL コードのコンポーネントの最適化 アルゴリズムおよびアーキテクチャの SoC FPGA 実装 HDL Coder, Embedded Coder, SoC Blockset で実現できます Processor Controller FPGA GPIO ADC Bus DAC PWM UDP TCP/IP HDMI 30

31 2019 The MathWorks, Inc. MATLAB and Simulink are registered trademarks of The MathWorks, Inc. See for a list of additional trademarks. Other product or brand names may be trademarks or registered trademarks of their respective holders. 31

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

Expo 2014

Expo 2014 物理層 &MAC 層のマルチレイヤシミュレーションとコード生成 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア田中明美 2014 The MathWorks, Inc. 1 Go-Back-N ( クロスレイヤモデル ) BER/PER 特性の確認 Bit レベルで雑音を加えているため PER だけでなく BER も評価可能 2 Go-Back-N

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

MATLAB®製品紹介セミナー

MATLAB®製品紹介セミナー MATLAB における分類 パターン認識 - 入門編 - MathWorks Japan アプリケーションエンジニアリング部 ( テクニカルコンピューティング部 ) アプリケーションエンジニア大開孝文 2012 The MathWorks, Inc. 1 アジェンダ 回帰モデルと分類モデルについて 分類手法を使ったワインの品質モデリング まとめ 2 分類手法を使ったワインの品質モデリング アプローチ

More information

平均電流制限(ACL)によるハーフブリッジ入力コンデンサ中点の平衡化

平均電流制限(ACL)によるハーフブリッジ入力コンデンサ中点の平衡化 LM5039 Literature Number: JAJA419 POWER designer Expert tips, tricks, and techniques for powerful designs No. 128 national.com/powerdesigner ACL By Ajay Hari, Senior Applications Engineer and Robert Oppen,

More information

PowerPoint Presentation

PowerPoint Presentation MATLAB による 大規模フリートデータ解析 アプリケーションエンジニアリング部齊藤甲次朗 2015 The MathWorks, Inc. 1 アジェンダ はじめに ビッグデータ解析の課題 MATLAB を活用したフリートデータ解析事例 フリートデータ解析実践 デスクトップでの解析 クラスターへのスケールアウト MATLAB 解析のシステムへの統合 まとめ 2 25 GB / 1hour 4 フリートデータ解析を含むビッグデータ解析の課題

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

ストリームを用いたコンカレントカーネルプログラミングと最適化 エヌビディアジャパン CUDAエンジニア森野慎也 GTC Japan 2014

ストリームを用いたコンカレントカーネルプログラミングと最適化 エヌビディアジャパン CUDAエンジニア森野慎也 GTC Japan 2014 ストリームを用いたコンカレントカーネルプログラミングと最適化 エヌビディアジャパン CUDAエンジニア森野慎也 GTC Japan 2014 コンカレントな処理の実行 システム内部の複数の処理を 平行に実行する CPU GPU メモリ転送 カーネル実行 複数のカーネル間 ストリーム GPU 上の処理キュー カーネル実行 メモリ転送の並列性 実行順序 DEFAULT STREAM Stream : GPU

More information

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc.

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. ロボットビジョンとは ロボットに搭載することを目的としたコンピュータービジョン技術の一分野 標識認識などさまざまな環境下での物体認識や複雑なシーンの理解 未知の領域を探索する際にロボット自身の位置推定と地図作成(SLAM)

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

Microsoft Word - RefApp7インストールガイド.doc

Microsoft Word - RefApp7インストールガイド.doc リファレンスアプリケーション RefApp7 導入ガイド 概要 新しい RefApp7.exe リファレンス制御アプリケーションは Windows7 または Windows Vista の 32bit 版および 64bit 版の両方の環境で動作します RefApp7 を運用する場合には マイクロソフト社提供の WinUSB 汎用デバイス ドライバが必要です このため 従来の制御ソフトウエア RefApp2

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

AMS Expoコンテンツ

AMS Expoコンテンツ 高速伝送路での信号特性の解析 ~ 新しい MATLAB /Simulink の使い方 ~ MathWorks Japan アプリケーションエンジニアリング部初井良治 2014 The MathWorks, Inc. 1 Demo MATLAB/Simulink 高速伝送特性シミュレーション DFE CDR ビット生成プリエンファシス伝送路特性データ 8B10Bエンコーダ アナログイコライザ 8B10B

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx リアルタイム制御環境を活用したフィードバック制御系設計実践 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア赤阪大介 212 The MathWorks, Inc. 1 本講演では.. ギャップ? - フィードバック制御器の設計 設計 シミュレーション リアルタイム制御テスト ( ラピッドプロトタイピング ) 実機環境を活用し 制御系設計を効率的に行うには?

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

HILS実装のためのプラントモデリングツールの活用

HILS実装のためのプラントモデリングツールの活用 HILS 実装のためのプラントモデリングツールの活用 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) アプリケーションエンジニア新井克明 2016 The MathWorks, Inc. 1 ハードウェアインザループシミュレーション (HILS) 制御対象の振る舞いを模擬し 試作 量産コントローラの機能検証を行うアプローチ 実機を利用したテストよりも再現性高くテストシナリオの繰り返し実施が可能

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

MAC61、MAC64、GAC61 改造仕様書

MAC61、MAC64、GAC61 改造仕様書 1/14 μgpcdsp シリーズ TDdsPMonitor( ユーティリティ マニュアル ) 発行部門 産業事業部産業工場デジタル開発チーム 年月日来歴適用製命訂正ヘ ーシ 承認調査作成 新規発行 TDdsPMonitor( ユーティリティ マニュアル ) 2/14 目次 1. 概要 -----------------------------------------------------------------

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

Pervasive PSQL v11 のベンチマーク パフォーマンスの結果

Pervasive PSQL v11 のベンチマーク パフォーマンスの結果 Pervasive PSQL v11 のベンチマークパフォーマンスの結果 Pervasive PSQL ホワイトペーパー 2010 年 9 月 目次 実施の概要... 3 新しいハードウェアアーキテクチャがアプリケーションに及ぼす影響... 3 Pervasive PSQL v11 の設計... 4 構成... 5 メモリキャッシュ... 6 ベンチマークテスト... 6 アトミックテスト... 7

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

Communications System Toolbox 5.0

Communications System Toolbox 5.0 Communications System Toolbox 5.0 通信システムの物理層の設計とシミュレーション Communications System Toolbox は 通信システムの設計 シミュレーション 解析のためのアルゴリ ズムとツールを提供します これらの機能は MATLAB 関数 MATLAB System object および Simulink ブロックとして提供されています この

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

DesignRangeCheckExpr Insertion Discussion

DesignRangeCheckExpr Insertion Discussion C プログラマーのための Simulink 活用講座 ~Simulink を使った開発に迷うエンジニアへ ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア渡辺修治 2012 The MathWorks, Inc. 1 ショータくんは 何に悩んでいるの? 制御ロジックを理解したいけど データーフローが見にくい コードの全体像が把握できない ショータくん

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

b4-deeplearning-embedded-c-mw

b4-deeplearning-embedded-c-mw ディープラーニングアプリケーション の組み込み GPU/CPU 実装 アプリケーションエンジニアリング部町田和也 2015 The MathWorks, Inc. 1 アジェンダ MATLAB Coder/GPU Coder の概要 ディープニューラルネットワークの組み込み実装ワークフロー パフォーマンスに関して まとめ 2 ディープラーニングワークフローのおさらい Application logic

More information

組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 Copyright 2013 FUJITSU COMPUTER TECHNOLOGIES LIMITED

組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 Copyright 2013 FUJITSU COMPUTER TECHNOLOGIES LIMITED 組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 組込み Linux における起動高速化 組込み Linux の起動時間短縮について依頼あり スペック CPU : Cortex-A9 ( 800MB - single) RAM: 500MB 程度 要件 起動時間 画出し 5 秒 音出し 3 秒 終了時間 数 ms で電源断 1 課題と対策 問題点

More information

f3-power-train-simulation-mw

f3-power-train-simulation-mw 車両全体シミュレーションを活用しよう 電動パワートレインシステム開発を例題に MathWorks Application Engineering 宮川浩 2015 The MathWorks, Inc. 1 電動パワートレインの選択 モータは一つ パラレルハイブリッド モータをどこに置くのがベストでしょうか? 燃費がより良いのは? 加速性能がより良いのは? 3 電動パワートレイン比較結果 P0 P1

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

AutoCAD のCitrix XenApp 対応

AutoCAD のCitrix XenApp 対応 AutoCAD ニューテクノロジーセミナー AutoCAD の Citrix XenApp 対応 オートデスク株式会社伊勢崎俊明 Citrix 対応 AutoCAD 2012 とは オートデスクのデスクトップ製品用ライセンス方式 Flexera Software 社 FLEXnet テクノロジを利用 スタンドアロンライセンス 1 つのコンピュータに 1 つのラインセンスをインストール アクティベーション処理でライセンスを有効化

More information

AutoCAD道場-なぜ「レイアウト」がいいのか?

AutoCAD道場-なぜ「レイアウト」がいいのか? AutoCAD 道場 : AutoCAD 習得のための傾向と対策セッション 3 なぜ レイアウト がいいのか? オートデスクコンサルタント井上竜夫 20110802 Ver. 1.0 レイアウトの基本 モデル空間 実際に作図作業を行う空間 作図は原寸 1:1 で行うのが原則 レイアウト空間 図面レイアウトの作成を行う空間 レイアウトの使用 ビューポートを配置して 図面レイアウトを作成 印刷 ビューポートはモデル空間の要素をレイアウトに表示するための窓

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

HD View Single Windowsセットアップガイド

HD View Single Windowsセットアップガイド Smart-telecaster HD View Single Windows セットアップガイド Smart-telecaster HD View single Rev2.0 Smart-telecaster HD View Single とは Smart-telecaster HD View Single( 以下 HD View Single) は Windows パソコンにインストールして使用するアプリケーションです

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション vsmp Foundation スケーラブル SMP システム スケーラブル SMP システム 製品コンセプト 2U サイズの 8 ソケット SMP サーバ コンパクトな筐体に多くのコアとメモリを実装し SMP システムとして利用可能 スイッチなし構成でのシステム構築によりラックスペースを無駄にしない構成 将来的な拡張性を保証 8 ソケット以上への拡張も可能 2 システム構成例 ベースシステム 2U

More information

1 K1L-Z-10135 D (1/22) PowerAct Pro Ver4.x ( ) インストールガイド オムロン株式会社 電子機器統轄事業部 K1L-Z-10135 D (2/22) 目次 1. POWERACT PRO ( スレーブエージェント FOR MAC) の動作環境... 3 2. UPS とコンピュータを接続する... 4 3. インストールを始める前に... 7 4. インストール手順...

More information

AverCasterご利用ガイド

AverCasterご利用ガイド AverCaster ご利用ガイド 2015/03/02 アバーメディア テクノロジーズ株式会社 目次 1 はじめに... 1 2 AVer Caster の初期設定... 1 3 H.264 用サーバーとのストリーミング設定... 2 4 Flash Media サーバーとのストリーミング設定... 3 5 Ustream とのストリーミング設定... 4 6 Justin.tv とのストリーミング設定...

More information

Presentation Title

Presentation Title データの本質を読み解くための機械学習 MATLAB でデータ解析の課題に立ち向かう MathWorks Japan アプリケーションエンジニア部アプリケーションエンジニア井原瑞希 2016 The MathWorks, Inc. 1 Buzzwords IoT 人工知能 / AI データ解析 ビッグデータ 2 データ解析ワークフロー データへのアクセスと探索 データの前処理 予測モデルの構築 システムへの統合

More information

Microsoft PowerPoint - presen3x4an.pptx

Microsoft PowerPoint - presen3x4an.pptx パイプライン処理のための 演算仕様記述言語 mhdl と その処理系 シグナル プロセス ロジック株式会社瀬尾雄三 mhdl 開発の背景 CodeSqueezer ボタンを押すだけで数値演算論理を形成 x a b c パイプライン処理演算論理 (black box) y=a*x^2+b*x+c x+c 演算仕様を言語 mhdl で記述 reg ツールの想定用途と要求 FPGA を用いた小ロット製品の設計

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

DDR2 SDRAM をフレームバッファに使用した CMOS カメラ表示回路の実装

DDR2 SDRAM をフレームバッファに使用した CMOS カメラ表示回路の実装 DD2 SDAM をフレームバッファに使用した CMOS カメラ表示回路の実装 小野雅晃筑波大学システム情報工学等技術室 305-8573 茨城県つくば市天王台 1-1-1 概要 CMOS (Complementary Metal Oxide Semiconductor) カメラの撮影画像を XA (extended raphics Array) サイズのディスプレイに表示する回路を Xilinx

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

リファレンスアプリケーション RefApp7

リファレンスアプリケーション RefApp7 リファレンスアプリケーション RefApp7 導入ガイド 概要 RefApp7.exe リファレンス制御アプリケーションは Windows 7 以降の 32bit 版と 64bit 版の両方の環境で動作します RefApp7 を運用する場合には マイクロソフト社提供の WinUSB 汎用デバイス ドライバが必要です このため 従来の制御ソフトウエア RefApp2 や RefApp3 が動作する環境でそのまま実行できません

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Microsoft Word - SUA007

Microsoft Word - SUA007 アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 SRAM-FIFO モジュール 1. SRAM-FIFO モジュールとは? Smart-USB Plus 製品に搭載する高速同期 SRAM を FIFO 化するモジュールです アルテラ社 AVALON バス仕様に準拠しています 既に提供している GPIF-AVALON ブリッジ (SUA006 アプリケーションノート参照

More information

Microsoft PowerPoint _ncessympotakada [互換モード]

Microsoft PowerPoint _ncessympotakada [互換モード] 第 3 回 NCES シンポジウム 宇宙機向けソフトウェアプラットフォーム (SpaceWire OS) の開発 212 年 1 月 1 日高田光隆附属組込みシステム研究センター研究員 mtakada@nces.is.nagoya-u.ac.jp 1 宇宙機向けソフトウェアプラットフォームの開発目次 SpaceWire について SpaceWire OSプロジェクトの趣旨 活動 リアルタイム性保証の検討

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

Simulinkモデル開発における工夫事例

Simulinkモデル開発における工夫事例 MBD 中部コンファレンス PMA2:MATLAB 開発 Simulink モデル開発における 工夫事例 2014 年 12 月 18 日オムロンオートモーティブエレクトロニクス株式会社開発統括室ボディコントロールシステム開発部町井紀善 はじめに Simulink の導入 開発手法 環境をそれぞれの事情 ( 会社 部署 個人 開発アイテム ビジネスモデル 等 ) に合せ込むことで効率化を実現します

More information

Microsoft Word - Dolphin Expressによる10Gbpソケット通信.docx

Microsoft Word - Dolphin Expressによる10Gbpソケット通信.docx Dolphin Express による 10Gbps ソケット通信 Dolphin Express は 標準的な低価格のサーバを用いて 強力なクラスタリングシステムが構築できる ハードウェアとソフトウェアによる通信用アーキテクチャです 本資料では Dolphin Express 製品の概要と 実際にどの程度の性能が出るのか市販 PC での実験結果をご紹介します Dolphin Express 製品体系

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

リソース制約下における組込みソフトウェアの性能検証および最適化方法

リソース制約下における組込みソフトウェアの性能検証および最適化方法 リソース制約下における組込みソフト ウェアの性能検証および最適化方法 広島市立大学 大学院情報科学研究科システム工学専攻 中田明夫倉田和哉百々太市 1 提案技術の概要 組込みシステムの開発 厳しいリソース制約 (CPU, ネットワークなど ) 非機能要求 ( リアルタイム性など ) の達成 開発プロセスにおける設計段階 性能問題を発見することが困難 実装段階で性能問題が発覚 設計の手戻りが発生 設計段階での性能検証手法

More information

Presentation Title

Presentation Title 次世代 Simulink が導入する 新 MBD 環境 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア山本順久 2012 The MathWorks, Inc. 1 これまでの歴史 5 年ぶりのメジャーバージョンアップ! 2002 R13 Simulink Stateflow 5.0 2004 R14 Simulink Stateflow 6.0

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Q-SYS Designer Version 5.4 Release Note ソフトウェア要件 Q-SYS Designer は下記の OS での動作確認をしております Windows 7 Professional 32-bit / 64-bit Windows 8.1 Professional

Q-SYS Designer Version 5.4 Release Note ソフトウェア要件 Q-SYS Designer は下記の OS での動作確認をしております Windows 7 Professional 32-bit / 64-bit Windows 8.1 Professional Q-SYS Designer Version 5.4 Release Note ソフトウェア要件 Q-SYS Designer は下記の OS での動作確認をしております Windows 7 Professional 32-bit / 64-bit Windows 8.1 Professional 32-bit / 64-bit Windows 10 Pro 64bit Windows XP および

More information

PassSureExam Best Exam Questions & Valid Exam Torrent & Pass for Sure

PassSureExam   Best Exam Questions & Valid Exam Torrent & Pass for Sure PassSureExam http://www.passsureexam.com Best Exam Questions & Valid Exam Torrent & Pass for Sure Exam : 1z0-950-JPN Title : Oracle Data Management Cloud Service 2018 Associate Vendor : Oracle Version

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

時間インタリーブ方式ADCシステム向け高精度クロックの生成

時間インタリーブ方式ADCシステム向け高精度クロックの生成 LMK03000,LMK03001 Literature Number: JAJA429 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 109...1-7...2 /....4...6...8 James Catt, Applications Engineer v(t)

More information

CPUスケジューリング

CPUスケジューリング 5-6 プロセス管理と CPU スケジューリング 1 多重プログラミングの概念 CPU を無駄なく使いたい ジョブ A ジョブ B 開始遊休状態 : 入力 開始遊休状態 : 入力 遊休状態 : 入力 遊休状態 : 入力 停止 停止 図 4.1 二つの上部 A,B の実行 2 多重プログラミングの概念 ジョブ A 開始遊休状態 : 入力 遊休状態 : 入力 停止 ジョブ B 待ち 開始遊休状態 : 入力

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 入出力 (I/O) 制御 今日の講義概要 入出力デバイスのハードウェア 入出力デバイスの制御 入出力デバイスのソフトウェア 第 6 講 : 平成 20 年 10 月 22 日 ( 水 ) 2 限 S1 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

TRQerS - Introduction

TRQerS - Introduction TRQerS 導入概要 横河ディジタルコンピュータ株式会社エンベデッドソリューション事業本部サポート部 (ESC-APN-035-02 Dec,25,2015) 1 システムマクロトレースの特徴 製品構成と導入フロー 2 システムマクロトレースの特徴 システムマクロトレース printf ログ出力の発展形 (printf より高速 文字列 / タグ情報 / タスク遷移 / 関数遷移 ) ハードウェアインターフェース

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

福岡カーエレ研究会

福岡カーエレ研究会 モデルベース開発の業界動向 2011.10.14 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

PowerPoint Presentation

PowerPoint Presentation Simulink +Unreal Engine 連携による ADAS/ 自動運転シミュレーション環境 MathWorks Japan アプリケーションエンジニアリング部宮川浩 2015 The MathWorks, Inc. 1 Session Key Take Away MathWorks は 自動運転システム開発に必要な フルビークルモデルを提供します 3 自動車業界のメガトレンド Connectivity

More information

CONTEC DIOプロバイダ ユーザーズガイド

CONTEC DIOプロバイダ ユーザーズガイド DIO プロバイダユーザーズガイド - 1 - DIO プロバイダ CONTEC DIO ボード Version 1.1.2 ユーザーズガイド July 17, 2012 備考 ORiN2SDK2.0.14 以降, このプロバイダは CONTEC 社の API-DIO(WDM) を使用しています. 以前 までの API-DIO(98PC) を使用する場合は,DIO98 プロバイダを使用してください.

More information

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 本研究課題の背景 (1/2) ( 従来技術とその問題点 ) LSI の微細化 高速化 低電圧化 - ノイズマージンの低下化 - ノイズ ( ソフトエラー,

More information