Microsoft PowerPoint - sdf2007_sc3

Size: px
Start display at page:

Download "Microsoft PowerPoint - sdf2007_sc3"

Transcription

1 システム デザイン フォーラム 2007 TLM 標準化の動向について 半導体理工学研究センター開発第 2 部高位設計開発室武井勉

2 目次 1. なぜTLMか 2. 各団体の活発な標準化活動 3. TLM 普及のための次のステップ 4. STARCの取り組み 2

3 1. なぜ TLM か SoC の複雑大規模化に対して 設計抽象レベルの高位化が必須 抽象レベルの高位化再利用システム規模合成 RTL TLM 大規模設計生産性複雑化設計検証困難

4 高い抽象レベルモデルの必要性 システム全体検証 アーキテクチャ探索 早期の SW 開発 設計検証期間短縮 最適設計達成 高速シミュレーション モデル作成容易 モデル再利用 高い抽象レベル TLM 但し 現状 モデル開発に障害あり モデリングスタイルが統一されていない ベンダツールに依存したモデリング記述になる モデルの再利用や流通が難しい モデル開発に時間 コストがかかる : 標準化が重要! 4

5 TLM(Transaction-Level Modeling) とは 関数コールによる通信を用いたモデリングで通信と計算を分離 RTL より高い複数の抽象レベルのモデリング ( アルゴリズムレベル ~RTL の間 ) TL write(addr,data) TL RTL ピン精度 RTL 5

6 TLM 普及に必要なこと TLM の良い定義がない 皆の TLM の考えが一致していない Prof. Dan Gajski 抽象レベルを明確にする必要 統一した API が必要 Prof. Donatella Sciuto : (DATE 03) 統一した定義と標準化 抽象レベル TLM API トランザクションデータメンバ モデリングガイドライン 6

7 2. 各団体の活発な TLM 標準化活動 標準化承認 IEEE OSCI SystemC の標準化推進 OCP-IP SPIRIT IP-XACT の標準化推進 SystemVerilog の標準化推進 Accellera GreenSocs 各団体が標準化推進中 7

8 OSCI TLM WG Roadmap( 旧 ) Source : 14 th ESCUG Meeting, OSCI General Update & Working Group Plans 8

9 OSCI TLM WG Roadmap( 新 ) TLM 2.0 Public review 版 (9 月公開予定 12 月公開 ) Source : ECSI Institute Workshop on Transaction Level Modeling Standards OSCI TLM 2.0 Announcement Draft Available for Public review Dec. 4,

10 OSCI TLM 2.0 (1/3) TLM1.0: 主にトランザクションを転送する為の API を提案 TLM2.0: 主に転送する物 (Bus-based 通信データ ) を提案 TLM 2.0 Public review 版 Generic payload 次ページ sc_time parameter bool nb_put( const T &, const sc_time & ); 等 Analysis interface TLM Port を介してのトランザクションのモニタ 10

11 OSCI TLM 2.0 (2/3) Generic payload 案 tlm_request tlm_response m_command m_mode m_address m_block_mode m_block_address_incr m_master_thread_id m_transaction_id m_custom_vector_ptr * m_data * m_block_size m_byte_enable * m_byte_enable_period m_priority m_export_id m_status m_transaction_id m_master_thread_id m_data * m_block_size m_export_id m_priority m_custom_vector_ptr * 現在 Public review でフィードバック受付中 (~2 月 9 日 ) 変更の可能性有 拡張部分を独自に使用すると互換性がなくなるので注意 11

12 OSCI TLM 2.0 (3/3) sc_time parameter (Timed TLM core i/f) Untimed Timed Bidirectional Blocking Unidirectional Blocking Unidirectional Non-Blocking void transport( const REQ&, RSP&) void put(const T&) void get(t&) void peek(t&) bool nb_put(const T&) bool nb_can_put() sc_event &ok_to_put() bool nb_get(t&) bool nb_can_get() sc_event &ok_to_get()... void transport( const REQ &, RSP &, sc_time &) bool nb_put(const T&, sc_time &) bool nb_can_put(sc_time &) bool nb_get(t&, sc_time &) bool nb_can_get(sc_time &) channel master slave put(req) ok_to_get Δt s,pop ok_to_put Δt latency nb_get(req,δt s,pop ) nb_put(rsp,δtlatency) 12

13 GreenSocs SystemC ベースのオープンソースコミュニティ GreenBus 等の SystemC 環境の開発 保守オープンソースとして公開 OSCI, OCP, SPIRIT のメンバとして 連携した標準化活動 DAC2005 時に設立プロジェクト参加企業が開発費負担 GreenBus バスのモデルを作成するための SystemC TLM ライブラリ特定のバスプロトコルを提供しているのではなく バスを作りこむ枠組みを提供している ユーザーが 特定のプロトコルの作り込みを行なう Generic プロトコル例がライブラリで提供されている TLM2.0 の API トランザクションデータ検討に貢献 13

14 SPIRIT IPコンテンツを表記するためのschemaなどフレームワーク開発のための標準化を進めるコンソーシアム DAC2003 時に設立 現在 57 社参加 SPIRIT: Structure for Packaging, Integrating and Reusing IP within Tool-flows IP-XACT with ESL extension v1.4 現在 IEEE 標準化 (P1685) を進めている v1.2 に対して TLM に対応した ESL 拡張が行われている IP-XACT 自体は言語中立であるが SystemC や SystemVerilog 等の TLM IP の表現や各抽象レベルモデルの扱い方法検討と検証を進めている Design Environment HDL Software Module uart Design input Docs int din uarttest() [7:0] input sdi; Simulator { Parts List output sdo *(reg)=0x1; 1 x UART return (0); 2 x SystemCmap WORK mylib OCP Bridge } 1 x Interrupt systemc timescale 1ns PSL module (nand2) { sequence s1 is {pkt_sop; sc_in<bool> SPIRIT XML <vendor>mentor</vendor> pkt_eop}; a,b; <name>uart</name> (not pkt_xfer_en_n [*1 to 100]); Design Team Data <businterface>vpb</businterface> Owner UART <businteface>serial</businterface> v1.304 John W - designed 20/Jun/06 Owner DSP <model>verilog</model> v3.145 Wally R - designed Test 15/May/05 Plan <function>swdriver</function> Owner FlexRay v1.03 Serge L <fileset>verilog_source</fileset> - designed register 13/03/05 10 = 0x1 Check Interrupt Rom S-Records S F245F A0 S S E900084E S ABF S ED492 S FC 14

15 SPIRIT IP-XACT v1.4 ( 06 年 12 月に β 一般公開予定であったが α3 メンバ内公開に変更 ) TLM IP Modeling(SystemC, SystemVerilog 等 ) TLM(PV,PVT,CA) TLM-RTL 混在等のサポート 成果物として schema, doc., examples, semantic rules checker, XSLT converter( バージョン変換 ), TGI(Tight Generator Interface) などを提供 Source : ECSI TLM Workshop 2005, SPIRIT standard evolutions to cover higher abstractions level IP-SOC 2006, 15

16 3.TLM 普及のための次のステップ 言語やAPIなどの基本標準とガイドラインなどの応用標準 統一したモデリングスタイル 再利用性の高いモデル構造 ガイドライン モデル再利用 流通のための標準化設計者が利用する視点からの応用標準 API 標準化 言語標準化 モデル接続 schema 標準化 抽象レベル定義 デバグ プロファイリング用 API の標準化 標準化団体の標準化活動 基本標準 16

17 ガイドライン開発 イドライン開発記述ルール策定チェッカ 教材作成ガTLM の使用目的明確化 抽象レベル定義 使用目的に対応した抽象レベルの明確化 モデリングとリファインメント手順の明確化 モデル試作 メソドロジ開発 TLM 標準設計フローとユースケース作成 既存の TLM i/f と抽象レベルを調査 ユースケース実現に必要な抽象レベルとリファインメントパスを決定 必要な TLM API や再利用性の高いモデリング手法検討 各種モデルの評価ガイドラインへの反映 各 EDA ツールへの反映 17

18 再利用性を高めるポイント 計算と通信の明確な分離 計算 通信部 抽象度中 Bus A 抽象度低 Bus B 抽象度低 計算と通信を分離して再利用性を高める計算と通信の切り口は データの入力 出力計算部分では 通信プロトコル等を意識しない チャネルで通信の抽象レベルやプロトコルの違いを隠蔽 18

19 4. STARC 高位設計開発室の活動 Phase1:2006 年 4 月 ~2008 年 3 月 TL 設計の実用化 STARCAD-SLD 開発項目リファレンスとなる TL モデリングガイドライン開発実用的な TL 設計メソドロジ開発 2006 年度の活動 ( 抜粋 ) TLM 標準設計フローとユースケース作成 通信の抽象レベル定義 TLM API 検討 モデル試作 ガイドライン作成など 19

20 最後に 各団体の活動により TLM 標準化の取り組みが進んでいます 今後 TLM 普及のためにはモデリングガイド等の充実が必要です STARC は TLM 実用化のために ガイドライン & メソドロジの開発を進めます 20

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

DAシンポ2003_SLD研_発表原稿

DAシンポ2003_SLD研_発表原稿 DA 2003 - JEITA SLD - JEITA E D A S L D NEC http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Copyright 2002-2003 JEITA, All rights reserved SLD Copyright 2002-2003 JEITA, All rights

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

.......p...{..P01-48(TF)

.......p...{..P01-48(TF) 1 2 3 5 6 7 8 9 10 Act Plan Check Act Do Plan Check Do 11 12 13 14 INPUT OUTPUT 16 17 18 19 20 21 22 23 24 25 26 27 30 33 32 33 34 35 36 37 36 37 38 33 40 41 42 43 44 45 46 47 48 49 50 51 1. 2. 3.

More information

SystemC言語概論

SystemC言語概論 SystemC CPU S/W 2004/01/29 4 SystemC 1 SystemC 2.0.1 CPU S/W 3 ISS SystemC Co-Simulation 2004/01/29 4 SystemC 2 ISS SystemC Co-Simulation GenericCPU_Base ( ) GenericCPU_ISS GenericCPU_Prog GenericCPU_CoSim

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

reply_letter

reply_letter 条件付採録に対する回答文 投稿論文番号 :2012JDP7055 ご査読に際し, 貴重なご指摘とご意見を頂きありがとうございました. 採録条 件に対する回答と, 採録条件を満たすために, 投稿論文を加筆, 修正した点に ついて, ご説明致します. 採録条件 本論文では, 下記の点について新規性が主張されています. Nov1) タスク処理内容をプログラム形式で抽象的に記述することにより, 条件分岐や繰返しを含むような処理時間が変動するようなアプリケーションに対するシミュレーションを可能にしている.

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx シーケンスに基づく検索モデルの検索精度について 東京工芸大学工学部コンピュータ応用学科宇田川佳久 (1/3) (2/3) 要員数 情報システム開発のイメージソースコード検索機能 他人が作ったプログラムを保守する必要がある 実務面での応用 1 バグあるいは脆弱なコードを探す ( 品質の高いシステムを開発する ) 2 プログラム理解を支援する ( 第 3 者が書いたコードを保守する ) 要件定義外部設計内部設計

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

メタデータスキーマレジストリ MetaBridge の概要

メタデータスキーマレジストリ MetaBridge の概要 スキーマレジストリ MetaBridge の概要 永森光晴筑波大学図書館情報メディア系 スキーマレジストリ MetaBridge [4] スキーマレジストリ スキーマの定義 蓄積 検索 参照 インスタンス変換 RDF 生成 ダムダウン 問い合わせ API 情報基盤構築事業 [1] プロジェクト概要 平成 22 年度総務省 新 ICT 利活用サービス創出支援事業 MLA 研究機関 民間出版社等の様々な機関が利用するスキーマの情報を収集する

More information

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx JAMA 電子情報フォーラム 2018 デジタルエンジニアリング プロセスの 一般社団法人 適用範囲拡大 電子制御情報の交換 本 動 業会 電子情報委員会デジタルエンジニアリング部会電子制御情報の交換タスクタスクリーダー : 菊地洋輔 2018 年 2 月 16 日 目次 1 活動の背景 2 活動のゴール 進め方 3 成果目標 4 活動計画 5 2017 年度の取り組み 6 2018 年度以降の取り組み

More information

Kumagai09-hi-2.indd

Kumagai09-hi-2.indd CSR2009 CONTENTS 1 2 3 4 5 6 7 8 9 10 350 11 12 13 14 15 16 17 18 Do Check Action Plan 19 20 INPUT r r r r k k OUTPUT 21 22 Plan Action Check Do 23 24 25 26 27 28 16:50 7:30 8:00 8:30 9:30 10:00 18:00

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

大域照明計算手法開発のためのレンダリングフレームワーク Lightmetrica: 拡張 検証に特化した研究開発のためレンダラ 図 1: Lightmetrica を用いてレンダリングした画像例 シーンは拡散反射面 光沢面を含み 複数の面光 源を用いて ピンホールカメラを用いてレンダリングを行った

大域照明計算手法開発のためのレンダリングフレームワーク Lightmetrica: 拡張 検証に特化した研究開発のためレンダラ 図 1: Lightmetrica を用いてレンダリングした画像例 シーンは拡散反射面 光沢面を含み 複数の面光 源を用いて ピンホールカメラを用いてレンダリングを行った 大域照明計算手法開発のためのレンダリングフレームワーク Lightmetrica: 拡張 検証に特化した研究開発のためレンダラ 図 1: Lightmetrica を用いてレンダリングした画像例 シーンは拡散反射面 光沢面を含み 複数の面光 源を用いて ピンホールカメラを用いてレンダリングを行った モデルとして外部から読み込んだ三角形メ ッシュを用いた このように Lightmetrica はレンダラとして写実的な画像を生成する十分な実力を有する

More information

Microsoft PowerPoint _ncessympotakada [互換モード]

Microsoft PowerPoint _ncessympotakada [互換モード] 第 3 回 NCES シンポジウム 宇宙機向けソフトウェアプラットフォーム (SpaceWire OS) の開発 212 年 1 月 1 日高田光隆附属組込みシステム研究センター研究員 mtakada@nces.is.nagoya-u.ac.jp 1 宇宙機向けソフトウェアプラットフォームの開発目次 SpaceWire について SpaceWire OSプロジェクトの趣旨 活動 リアルタイム性保証の検討

More information

Contents P. P. 1

Contents P. P. 1 Contents P. P. 1 P. 2 TOP MESSAGE 3 4 P. P. 5 P. 6 7 8 9 P. P. P. P. P. 10 11 12 Economy P. P. 13 14 Economy P. 1,078 1,000 966 888 800 787 716 672 600 574 546 556 500 417 373 449 470 400 315 336 218 223

More information

スライド 1

スライド 1 XML with SQLServer ~let's take fun when you can do it~ Presented by 夏椰 ( 今川美保 ) Agenda( その 1) XML XML XSLT XPath XML Schema XQuery Agenda( その 2) SQLServer における XML XML 型 XML Schema XQuery & XPath チェック制約

More information

Sharing the Development Database

Sharing the Development Database 開発データベースを共有する 目次 1 Prerequisites 準備... 2 2 Type of database データベースのタイプ... 2 3 Select the preferred database 希望のデータベースを選択する... 2 4 Start the database viewer データベース ビューワーを起動する... 3 5 Execute queries クエリを実行する...

More information

FFT

FFT ACTRAN for NASTRAN Product Overview Copyright Free Field Technologies ACTRAN Modules ACTRAN for NASTRAN ACTRAN DGM ACTRAN Vibro-Acoustics ACTRAN Aero-Acoustics ACTRAN TM ACTRAN Acoustics ACTRAN VI 2 Copyright

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

V8.1新規機能紹介記事

V8.1新規機能紹介記事 WebOTX V8.1 新規機能 EJB 3.0 WebOTX V8.1より Java EE 5(Java Platform, Enterprise Edition 5) に対応しました これによりいろいろな機能追加が行われていますが 特に大きな変更であるEJB 3.0 対応についてご紹介いたします なお WebOTX V7で対応したEJB 2.1についてもWebOTX V8.1で引き続き利用することが可能です

More information

2. 目的 1RationalRose を利用する場合にプログラム仕様書としての最低限必要な記述項目を明確にする 2 プログラム仕様書として記載内容に不足がない事をチェックする 3UML の知識があるものであれば 仕様書の内容を理解できること 4Rose にて入力した内容を SoDaWord を利用

2. 目的 1RationalRose を利用する場合にプログラム仕様書としての最低限必要な記述項目を明確にする 2 プログラム仕様書として記載内容に不足がない事をチェックする 3UML の知識があるものであれば 仕様書の内容を理解できること 4Rose にて入力した内容を SoDaWord を利用 プログラム仕様書 (UML 表記法 ) ガイドライン 本仕様書に UML(Rational Rose 使用 ) を用いてプログラム仕様書を作成する際のガイドラインを記す 1. ドキュメントの様式について 1 ドキュメントは制御単位で作成する 2 表紙 及び変更履歴は SWS にて指定されたものを付加すること 3 下記の目次内で指定している UML 図 記述項目は必須項目とする 4SoDa にてドキュメントを出力する場合は

More information

Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT

Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT 日本 EDA 標準化の推進状況 IEEE-SA 東京セミナー 2008 年 5 月 14 日 JEITA EDA 技術専門委員会 特別委員 IEC TC93 WG2 コ コンベナ NEC システムテクノロジー ( 株 ) CWB 事業推進室テクニカル ディレクター小島智 1 はじめに EDA とは? EDA 標準の位置付け EDA 標準のエレクトロニクス産業への貢献 JEITA EDA 技術専門委員会での

More information

1 911 9001030 9:00 A B C D E F G H I J K L M 1A0900 1B0900 1C0900 1D0900 1E0900 1F0900 1G0900 1H0900 1I0900 1J0900 1K0900 1L0900 1M0900 9:15 1A0915 1B0915 1C0915 1D0915 1E0915 1F0915 1G0915 1H0915 1I0915

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

HL SI & HL FWS Script Control

HL SI & HL FWS Script Control IBIS-LPB Design Kit LPB フォーマットを活用した構想設計自動化 ( 株 ) 東芝 青木孝哲 ( 株 ) 東芝 岡野資睦 メンターグラフィックス ジャパン ( 株 ) 門田和博 Page1 概要 LPB フォーマットと LPB Design Kit を用いて PCB 構想設計を行う HyperLynx SI を用いて IBIS モデル コンデンサ SPICE モデルを Import

More information

Microsoft Word - fibre-peripheral.doc

Microsoft Word - fibre-peripheral.doc (2006/01/18) Fibre Channel 関連 1. 概要 Fibre Channel ディスクアレイ装置とサーバ間を高速なインタフェースで接続する Fibre Channel 関連製品 ディスクアレイ装置 / 収納ユニットとサーバを接続するための Fibre Channel ケーブル 2Gbps Fibre Channel インタフェースに対応したスイッチ製品 < 留意事項 > ディスクアレイ装置内のライトキャッシュメモリはバッテリーバックアップユニットで退避処理されますが

More information

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc Anybus CANopen Master Simulator インストール手順補足説明資料 Version: A00 エイチエムエス インダストリアルネットワークス株式会社 222-0033 神奈川県横浜市港北区新横浜 3-19-5 新横浜第 2 センタービル 6F TEL : 045-478-5340 FAX : 045-476-0315 URL www.anybus.jp EMAIL セールス

More information

MISAO with WPF

MISAO with WPF System.AddIn を利用した アプリケーション拡張 - アドインの開発 - JZ5( 松江祐輔 )@ わんくま http://katamari.jp http://katamari.wankuma.com 2008/9/13 What s System.AddIn System.AddIn 名前空間 Visual Studio Orcus から利用可能 アプリケーションに拡張機能を提 供 なんかいろいろ特長が?

More information

zsj2017 (Toyama) program.pdf

zsj2017 (Toyama) program.pdf 88 th Annual Meeting of the Zoological Society of Japan Abstracts 88 th Annual Meeting of the Zoological Society of Japan Abstracts 88 th Annual Meeting of the Zoological Society of Japan Abstracts 88

More information

88 th Annual Meeting of the Zoological Society of Japan Abstracts 88 th Annual Meeting of the Zoological Society of Japan Abstracts 88 th Annual Meeting of the Zoological Society of Japan Abstracts 88

More information

_170825_<52D5><7269><5B66><4F1A>_<6821><4E86><5F8C><4FEE><6B63>_<518A><5B50><4F53><FF08><5168><9801><FF09>.pdf

_170825_<52D5><7269><5B66><4F1A>_<6821><4E86><5F8C><4FEE><6B63>_<518A><5B50><4F53><FF08><5168><9801><FF09>.pdf 88 th Annual Meeting of the Zoological Society of Japan Abstracts 88 th Annual Meeting of the Zoological Society of Japan Abstracts 88 th Annual Meeting of the Zoological Society of Japan Abstracts 88

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション GSN を応用したナレッジマネジメントシステムの提案 2017 年 10 月 27 日 D-Case 研究会 国立研究開発法人宇宙航空研究開発機構 研究開発部門第三研究ユニット 梅田浩貴 2017/3/27 C Copyright 2017 JAXA All rights reserved 1 目次 1 課題説明 SECI モデル 2 GSN を応用したナレッジマネジメントシステム概要 3 ツリー型チェックリスト分析

More information

SystemC 2.1 新機能と TLM 動向 2006 年 1 月 27 日 JEITA EDA 技術専門委員会標準化小委員会 SystemC タスクグループ Copyright JEITA, All rights reserved 1

SystemC 2.1 新機能と TLM 動向 2006 年 1 月 27 日 JEITA EDA 技術専門委員会標準化小委員会 SystemC タスクグループ Copyright JEITA, All rights reserved 1 SystemC 2.1 新機能と TLM 動向 2006 年 1 月 27 日 JEITA EDA 技術専門委員会標準化小委員会 SystemC タスクグループ Copyright 2005-2006 JEITA, All rights reserved 1 目次 はじめに SystemC タスクグループとは SystemC 2.1 SystemC 2.1 の新機能 将来サポートされない SystemC

More information

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

アジェンダ 会社紹介 OFCONFIGについて NETCONFおよびYANGについて OFCONFIGユースケース A.I.Corporation 2

アジェンダ 会社紹介 OFCONFIGについて NETCONFおよびYANGについて OFCONFIGユースケース A.I.Corporation 2 NETCONF および YANG を使った OpenFlow スイッチの管理 A.I.Corporation 1 アジェンダ 会社紹介 OFCONFIGについて NETCONFおよびYANGについて OFCONFIGユースケース A.I.Corporation 2 会社紹介 A.I.Corporation 3 株式会社エーアイコーポレーション 会社業務 特長 機器組込み用ソフトウェア (Embedded

More information

Enterprise Architect 12.0 機能ガイド

Enterprise Architect 12.0 機能ガイド Enterprise Architect 12.0 feature guide by SparxSystems Japan (2015/2/18 最終更新 ) Copyright (c) 2015 Sparx Systems Japan 1 このドキュメントでは Enterprise Architect 12.0 で追加 改善された機能についてご紹介します 青字の 文字は操作方法を示しています なお

More information

SystemC H2’2000 Accomplishments

SystemC H2’2000 Accomplishments SystemC 2004 1 29 (Chairman of OSCI) http://www.systemc.org/ OSCI SystemC SystemC 2 OSCI Forte (2003 8 ) ST Microelectronics (2003 11 ) Board Member Alain M. Clouard Officer (2003 8 ) Chairman : ( ) President

More information

作業環境カスタマイズ 機能ガイド(応用編)

作業環境カスタマイズ 機能ガイド(応用編) Customize Feature Guide by SparxSystems Japan Enterprise Architect 日本語版 作業環境カスタマイズ機能ガイド ( 応用編 ) (2018/05/16 最終更新 ) 1 はじめに このドキュメントでは Enterprise Architect を利用して作業を行う場合に より快適に作業を行うためのカスタマイズ可能な項目について説明します

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

XML基礎

XML基礎 基礎から学ぶ XML 特集 - 基本の基本! XML と文法 - インフォテリア株式会社 XML とは XML 1.0 W3Cの勧告 XML 1.1 XML 文書 HTMLとXML XML(Extensible Markup Language) 1.0 拡張可能なマークアップ言語 1998 年にW3Cから勧告された XML 1.0 ベンダーやプラットフォームから独立したインターネット標準 http://www.w3.org/tr/xml/

More information

Microsoft PowerPoint - 第3回スライド final [互換モード]

Microsoft PowerPoint - 第3回スライド final [互換モード] 新興ベンダ ガイド ツアー 第 3 回 14:45-15:5515:55 ツアーガイド : 秋山俊恭 ( 独立行政法人科学技術振興機構 ) 訪問企業 順番 会社名 ( 日本での取扱 ) 本社 URL カテゴリ主な業務内容 1 AVERY DESIGN SYSTEMS. (Chip Start or 台湾オフィス ) 米国 http://www.averydesign.com/ デジタル アサーション生成

More information

2 3 4 5 6 7 8 9 10 12 14 17 20 23 26 28 29 30 32 33 34 35 2

2 3 4 5 6 7 8 9 10 12 14 17 20 23 26 28 29 30 32 33 34 35 2 2 3 4 5 6 7 8 9 10 12 14 17 20 23 26 28 29 30 32 33 34 35 2 21 3 CO-GROWING HUMAN CONVINNOVATION 4 5 6 ISO14001 PDCA Plan Do Check Action Plan Do Check Action 7 a a a 8 9 10 input \ output \ DATA input

More information

(Microsoft PowerPoint - Java\221\3462\225\224\211\357\224\255\225\\\216\221\227\ ppt)

(Microsoft PowerPoint - Java\221\3462\225\224\211\357\224\255\225\\\216\221\227\ ppt) システム開発における 生産性の検証 平成 19 年度 OISA 技術研究会 JAVA 第 2 部会 1 2008.02.19 目次 1. 部員紹介 2. 生産性向上に向けて 3.Seasar2 4. テストプログラムによる検証 5. 考察 6. まとめ 2 1. 部員紹介 3 部員紹介 葛城啓之 ( 株式会社オーイーシー ) 工藤寿彦 ( 九州東芝エンシ ニアリンク 株式会社 ) 白石和稔 ( 大銀コンヒ

More information

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを メトリクス利用によるリファクタリング対象の自動抽出 ローランドディー. ジー. 株式会社 第 4 開発部 SC02 小林光一 e-mail:kouichi.kobayashi@rolanddg.co.jp 2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない

More information

第 10 回 LPB フォーラム 2017/3/9 LPB デザインキット 2017 LPB Forum 青木孝哲 LPB Copyright JEITA SDTC LPB-WG All Rights Reserved 2018 Page1

第 10 回 LPB フォーラム 2017/3/9 LPB デザインキット 2017 LPB Forum 青木孝哲 LPB Copyright JEITA SDTC LPB-WG All Rights Reserved 2018 Page1 第 10 回 LPB フォーラム 2017/3/9 LPB デザインキット 2017 LPB Forum 青木孝哲 aoki@lpb-forum.com Page1 LPB WorkShop2017@ 箱根 Page2 LPB WorkShop2017@ 箱根 チップ部品の C-Format を村田製作所様が提供することで準備を進めています その C-Format の検証作業を EDA ベンダーと進めています

More information

1013  動的解析によるBOTコマンドの自動抽出

1013  動的解析によるBOTコマンドの自動抽出 動的解析による BOT コマンドの 自動抽出 Malware Workshop 2008 2008 年 10 月 10 日株式会社セキュアブレイン星澤裕二 岡田晃市郎 太刀川剛 背景と目的 背景 大量発生している BOT の感染を未然に防いだり 感染してしまった場合に被害を最小限に抑えたりするために BOT の挙動を短時間で知ることが重要 目的 短時間で BOT のすべての挙動を知りたい 感染活動だけでなく

More information

COBOL Standard Edition COBOL GUI のご紹介 2017 年 3 本電気株式会社 次 COBOL GUIとは P.4 画 機能との機能差分 P.11 画 のGUI 化例 P.14 COBOL85 View Generatorからの移 P.18 製品情報 P.21 COBOL GUI とは 製品概要 続き型 COBOL プログラム同様の記述で GUI プログラム開発が可能に

More information

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構 スキル領域と (8) ソフトウェアデベロップメント スキル領域と SWD-1 2012 経済産業省, 独立行政法人情報処理推進機構 スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD-2 2012 経済産業省, 独立行政法人情報処理推進機構 専門分野 ソフトウェアデベロップメントのスキル領域 スキル項目 職種共通スキル 項目 全専門分野 ソフトウェアエンジニアリング Web アプリケーション技術

More information

表 信号端子 端子名 入出力 機能 DTR 出力 COM ポート DTR (Data Terminal Ready Control Output / Handshake Signal) RXD 入力 COM ポート RXD (Receiving Asynchronous Data Input) TX

表 信号端子 端子名 入出力 機能 DTR 出力 COM ポート DTR (Data Terminal Ready Control Output / Handshake Signal) RXD 入力 COM ポート RXD (Receiving Asynchronous Data Input) TX ご使用前に必ずお読みください USB シリアル変換モジュール MM-FT3 取扱説明書 この度は USB シリアル変換モジュール MM-FT3 をお買い求めいただきまして誠にありがとうございます 本製品は FTDI 社製の USB シリアル変換 IC FT3RQ を搭載した USB シリアル変換モジュールです FT3RQ は USB.0 対応 発振回路を内蔵 動作設定用 EEPROM 内蔵 3.3V

More information

活用が広がる 共通語彙基盤 (IMI) イベント 技術セッション 公園への応用 加藤文彦 国立情報学研究所 2016 年 6 月 3 日

活用が広がる 共通語彙基盤 (IMI) イベント 技術セッション 公園への応用 加藤文彦 国立情報学研究所 2016 年 6 月 3 日 活用が広がる 共通語彙基盤 (IMI) イベント 技術セッション 公園への応用 加藤文彦 国立情報学研究所 2016 年 6 月 3 日 アウトライン Open Park データ設計 データ作成 2 Open Park 3 Open Park 公園 都市から街区まで 場所 遊具 写真 データ 横浜市金沢区オープンデータ IMI2.3.1 RDF 版を拡張 API http://openpark.jp

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

untitled

untitled DCMI nagamori@slis.tsukuba.ac.jp DCMI Metadata Schema Registry DCMI Dublin Core Metadata Initiative authoritative source 2004/10/29 2 1 Metadata is the information and documentation which makes data understandable

More information

PowerPoint Presentation

PowerPoint Presentation ETAS の AUTO ソリューションイータス株式会社 1 Public STJ2/ETAS 2014-01 ETAS GmbH 2014. All rights reserved, also regarding any disposal, exploitation, reproduction, editing, イータス株式会社会社概要 ETAS Driving Embedded Excellence

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

情報連携用語彙データベースと連携するデータ設計 作成支援ツール群の試作及び試用並びに概念モデルの構築 ( 神戸市こども家庭局こども企画育成部 千葉市総務局情報経営部業務改革推進課 川口市企画財政部情報政策課 ) データ構造設計支援ツール設計書 2014 年 9 月 30 日 実施企業 : 株式会社ア

情報連携用語彙データベースと連携するデータ設計 作成支援ツール群の試作及び試用並びに概念モデルの構築 ( 神戸市こども家庭局こども企画育成部 千葉市総務局情報経営部業務改革推進課 川口市企画財政部情報政策課 ) データ構造設計支援ツール設計書 2014 年 9 月 30 日 実施企業 : 株式会社ア 情報連携用語彙データベースと連携するデータ設計 作成支援ツール群の試作及び試用並びに概念モデルの構築 ( 神戸市こども家庭局こども企画育成部 千葉市総務局情報経営部業務改革推進課 川口市企画財政部情報政策課 ) データ構造設計支援ツール設計書 2014 年 9 月 30 日 実施企業 : 株式会社アスコエパートナーズ 独立行政法人情報処理推進機構 (IPA) 試作ツールは MIT ライセンスによって提供いたします

More information

2 NTT データビズインテグラル会社概要 会社名 本社所在地 株式会社 NTT データビズインテグラル NTTDATA BIZINTEGRAL CORPORATION 住所 東京都港区六本木三丁目 5 番 27 号六本木山田ビル 2 階 電話 設立年月日

2 NTT データビズインテグラル会社概要 会社名 本社所在地 株式会社 NTT データビズインテグラル NTTDATA BIZINTEGRAL CORPORATION 住所 東京都港区六本木三丁目 5 番 27 号六本木山田ビル 2 階 電話 設立年月日 クラウド時代の ERP 新潮流ユーザーと共に業務をデザインする次世代基幹業務プラットフォーム Biz 2014 年 10 月 15 日株式会社 NTT データビズインテグラル開発本部横井智巳 Copyright 2014 NTT DATA Corporation 2 NTT データビズインテグラル会社概要 会社名 本社所在地 株式会社 NTT データビズインテグラル NTTDATA BIZINTEGRAL

More information

HABOC manual

HABOC manual HABOC manual Version 2.0 takada@cr.scphys.kyoto-u.ac.jp HABOC とは Event by event 解析用の Framework C++ による coding ANL や FULL の C++ 版を目標 ANL/FULL は Object Oriented な設計概念なので C++ と相性が良い Histogram や視覚化には ROOT(http://root.cern.ch)

More information

自己紹介 日本電気株式会社情報 ナレッジ研究所 須堯一志 ( すぎょうかずし ) 主にネットワークのプロトコルを開発している技術者 1997 年に IPv6 のプロトコルスタック開発を通じてオープンソース開発に関わる 2009 年頃から OpenFlow に関わる 2011 年 Trema がオープ

自己紹介 日本電気株式会社情報 ナレッジ研究所 須堯一志 ( すぎょうかずし ) 主にネットワークのプロトコルを開発している技術者 1997 年に IPv6 のプロトコルスタック開発を通じてオープンソース開発に関わる 2009 年頃から OpenFlow に関わる 2011 年 Trema がオープ OSS ユーザーのための勉強会 < OSS X Users Meeting > #3 OpenFlow OpenFlow プログラミング フレームワーク Trema Trema 開発チーム 須堯一志 自己紹介 日本電気株式会社情報 ナレッジ研究所 須堯一志 ( すぎょうかずし ) 主にネットワークのプロトコルを開発している技術者 1997 年に IPv6 のプロトコルスタック開発を通じてオープンソース開発に関わる

More information

ITdumpsFree Get free valid exam dumps and pass your exam test with confidence

ITdumpsFree   Get free valid exam dumps and pass your exam test with confidence ITdumpsFree http://www.itdumpsfree.com Get free valid exam dumps and pass your exam test with confidence Exam : C9530-001J Title : IBM Integration Bus v10.0, Solution Development Vendor : IBM Version :

More information

Oracle SQL Developerの移行機能を使用したOracle Databaseへの移行

Oracle SQL Developerの移行機能を使用したOracle Databaseへの移行 < ここに画像を挿入 > Oracle SQL Developer の移行機能を使用した Oracle Database への移行 以下の事項は 弊社の一般的な製品の方向性に関する概要を説明するものです また 情報提供を唯一の目的とするものであり いかなる契約にも組み込むことはできません 以下の事項は マテリアルやコード 機能を提供することをコミットメント ( 確約 ) するものではないため 購買決定を行う際の判断材料になさらないで下さい

More information

CANape Vector Japan Co., Ltd.

CANape Vector Japan Co., Ltd. CANape CANape 12.0 2014-2017 Vector Japan Co., Ltd. 目次 1 CANape 03 CANape 03 04 CANape 05 05 I/O 06 GPS 06 07 08 08 08 2 09 09 CANape 11 1 11 3 16 CANape 16-17 18 2 18 19 4 27 27 CANape 28 30 3 30 5 35

More information

微分方程式 モデリングとシミュレーション

微分方程式 モデリングとシミュレーション 1 微分方程式モデリングとシミュレーション 2018 年度 2 質点の運動のモデル化 粒子と粒子に働く力 粒子の運動 粒子の位置の時間変化 粒子の位置の変化の割合 速度 速度の変化の割合 加速度 力と加速度の結び付け Newtonの運動方程式 : 微分方程式 解は 時間の関数としての位置 3 Newton の運動方程式 質点の運動は Newton の運動方程式で記述される 加速度は力に比例する 2

More information

PowerPoint Presentation

PowerPoint Presentation Gen3 対応! PCI-Express 採用 SoC の検証も ZeBu におまかせください! Big emulators come in small packages ZeBu とは? 汎用 FPGA をベースにすることで優れた投資対効果と革新性を実現した論理エミュレータです Xilinx Virtex Roadmap V8000 LX200 LX330 130nm ZeBu-ZV ZeBu-XL

More information

<4D F736F F F696E74202D208A778F708FEE95F197AC92CA82F08EC08CBB82B782E98B5A8F E97708B5A8F70816A5F94D196EC8D758E742E >

<4D F736F F F696E74202D208A778F708FEE95F197AC92CA82F08EC08CBB82B782E98B5A8F E97708B5A8F70816A5F94D196EC8D758E742E > 講義 (5) 学術情報流通を実現する技術 (2) 応 技術 佛教 学図書館専 員飯野勝則 2013 年 9 25 at NII シンプルな学術情報流通 近な例 CiNii に 量の論 データを登録する というのも学術情報流通の 形態 CiNii(NII ELS) に 量のデータを登録する (1) TSV(Tab Separated Value) 形式 E データ項 をタブによって切り分けたテーブルを連想させるフォーマット

More information

WCAG 2.0 W3C/WAI ( ) 2 24 December,

WCAG 2.0 W3C/WAI ( ) 2 24 December, WCAG 2.0 W3C/WAI 2 24 December, 2004 http://www.comm.twcu.ac.jp/~nabe/data/mic-w3c/ "The power of the Web is in its universality. Access by everyone regardless of disability is an essential aspect." --

More information

どのような便益があり得るか? より重要な ( ハイリスクの ) プロセス及びそれらのアウトプットに焦点が当たる 相互に依存するプロセスについての理解 定義及び統合が改善される プロセス及びマネジメントシステム全体の計画策定 実施 確認及び改善の体系的なマネジメント 資源の有効利用及び説明責任の強化

どのような便益があり得るか? より重要な ( ハイリスクの ) プロセス及びそれらのアウトプットに焦点が当たる 相互に依存するプロセスについての理解 定義及び統合が改善される プロセス及びマネジメントシステム全体の計画策定 実施 確認及び改善の体系的なマネジメント 資源の有効利用及び説明責任の強化 ISO 9001:2015 におけるプロセスアプローチ この文書の目的 : この文書の目的は ISO 9001:2015 におけるプロセスアプローチについて説明することである プロセスアプローチは 業種 形態 規模又は複雑さに関わらず あらゆる組織及びマネジメントシステムに適用することができる プロセスアプローチとは何か? 全ての組織が目標達成のためにプロセスを用いている プロセスとは : インプットを使用して意図した結果を生み出す

More information

"CAS を利用した Single Sign On 環境の構築"

CAS を利用した Single Sign On 環境の構築 CAS 2 Single Sign On 1,3, 2,3, 2, 2,3 1 2 3 May 31, 2007 ITRC p. 1/29 Plan of Talk Brief survey of Single Sign On using CAS Brief survey of Authorization Environment using CAS 2 Summary May 31, 2007 ITRC

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

基礎プログラミング2015

基礎プログラミング2015 応用プログラミング 第 10 回 構造体 2017 年 11 月 22 日 ( 水 ) 第 11 章 構造体 構造体 * 国民の個人情報を管理したい例 : マイナンバー (id), 名前 (na), 年齢 (ag) * 管理する方法は? 配列を用いる方法 ただし, 年齢などでソートするとき面倒 id[0] id[1] id[2] id[3] id[4] na[0] na[1] na[2] na[3]

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 3 4 週 プログラミング言語の形式的な記述 2014 年 4 月 23 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

IBM Rational Software Delivery Platform v7.0 What's

IBM Rational Software Delivery Platform v7.0 What's IBM Rational Software Delivery Platform V7.0 デスクトップ製品 V7.0 リリースの全体像および製品共通の新機能 2006 年 12 月 15 日 当資料は 2006/12/15 時点の情報に基づいて作成されていますが 事前の予告なく変更される場合があります IBM Tivoli WebSphere ClearCase ClearQuest Rational

More information

1-1- 基 OSS 概要に関する知識 ソフトウェアの新たな開発手法となりソフトウェア業界で大きな影響力を持つようになったオープンソースについて学習する 本カリキュラム Ⅰ. 概要では オープンソースの登場から現在に至る発展の経緯や代表的なソフトウェアの特徴を理解する 講義の後半では実際にソフトウェ

1-1- 基 OSS 概要に関する知識 ソフトウェアの新たな開発手法となりソフトウェア業界で大きな影響力を持つようになったオープンソースについて学習する 本カリキュラム Ⅰ. 概要では オープンソースの登場から現在に至る発展の経緯や代表的なソフトウェアの特徴を理解する 講義の後半では実際にソフトウェ 1-1- 基 OSS 概要に関する知識 1 1-1- 基 OSS 概要に関する知識 ソフトウェアの新たな開発手法となりソフトウェア業界で大きな影響力を持つようになったオープンソースについて学習する 本カリキュラム Ⅰ. 概要では オープンソースの登場から現在に至る発展の経緯や代表的なソフトウェアの特徴を理解する 講義の後半では実際にソフトウェアを PC にインストールしながら演習を行う Ⅱ. 対象専門分野職種共通

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

報告書9(資料6)

報告書9(資料6) OECD 高等教育における学習成果の評価 (AHELO) フィージビリティ スタディの実施のあり方に関する調査研究第 3 回研究会 ( 平成 25 年 3 月 19 日 ) OECD AHELO FS データの分析にむけて 深堀聰子国立教育政策研究所 1 OECD AHELO のフィージビリティは検証されたか テスト問題の妥当性 信頼性 フェーズ 1(2011 年 5 月 10 大学 75 人 )

More information

日経ビジネス Center 2

日経ビジネス Center 2 Software Engineering Center Information-technology Promotion Agency, Japan ソフトウェアの品質向上のために 仕様を厳密に 独立行政法人情報処理推進機構 ソフトウェア エンジニアリング センター 調査役新谷勝利 Center 1 日経ビジネス 2012.4.16 Center 2 SW 開発ライフサイクルの調査統計データ ソフトウェア産業の実態把握に関する調査

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

システムインテグレータのIPv6対応

システムインテグレータのIPv6対応 システムインテグレータの IPv6 対応 2012 年 11 月 22 日株式会社 NTT データビジネスソリューション事業本部ネットワークソリューション BU 馬場達也 自己紹介 1995 年に NTT データに入社 R&D 部門でネットワークセキュリティの研究開発 現在は エンタープライズのお客様のネットワークの設計 構築 運用ビジネスを行う部門で新ネットワークサービスの開発を担当 2006 年

More information

ETOS 画面の Web 化 / 帳票印刷のオープン化体験お試し変換サービスのご紹介 ACOS-4 システムの業務改善提案

ETOS 画面の Web 化 / 帳票印刷のオープン化体験お試し変換サービスのご紹介 ACOS-4 システムの業務改善提案 ETOS 画面の Web 化 / 帳票印刷のオープン化体験お試し変換サービスのご紹介 システムの業務改善提案 お客様業務の改善を提案します オンライン業務の改善 a.etos 画面のビュー改善 : 画面のオープン化を体験してみませんか! b. オープンプリンタへの印刷 : 帳票印刷のオープン化を体験してみませんか! Web アプリケーションお試し変換サービス をご利 ください ( 次ページ以降でご紹介

More information