設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

Size: px
Start display at page:

Download "設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?"

Transcription

1 Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1

2 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2

3 WG1 ITRS Design System Drivers SoC EDA Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 3

4 Design System Drivers Design WG1 Design process, System-level design, Logical/physical/circuit design, Design verification, Design for test System Drivers System Drivers ORTC ITRS ORTC =Overall Roadmap Technology Characteristic LSI SoC SoC Multi-Technology High-Performance, Cost-Driven Processor Mixed-Signal Memory SoC Low Power PDA Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 4

5 Canonical Design Flow ITRS US/EU Canonical Design Flow SoC WG ITRS ITRS» Canonical Design Flow» RTL SW/HW Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 5

6 Full/Semi-Automated Handcraft Files, Documents System Requirement Analysis System Requirement Specification System Function Design System Architecture Design HW Specification SW Specification Micro Architecture Design(Block Partition) Block Level Specification RTL Design Software Development Modeling Verification RTL Models & Constraints Logic synthesis & Place-and-Route Mask Data Hardware Development Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 6

7 RTL System Requirement Analysis System Requirement Specification Full/Semi-Automated Handcraft Files, Documents System Function Design System Architecture Design HW Specification SW Specification Micro Architecture Design(Block Partition) Modeling Verification Changed Behavior Models & Constraints RTL Synthesis RTL Models & Constraints Software Development Logic synthesis & Place-and-Route Hardware Development Mask Data Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 7

8 HW/SW System Requirement Analysis Full/Semi-Automated Handcraft Files, Documents System Requirement Specification System Function Design Modeling Verification Changed System Behavior Model Design Constraint HW/SW Co-Synthesis Behavior Models & Constraints RTL Synthesis RTL Models & Constraints SW Source Code Software Development Logic synthesis & Place-and-Route Mask Data Hardware Development Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 8

9 WG1 WG1 SoC SoC 2003 ITRS SoC Time-To-Market Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 9

10 WG1 ITRS Design System Drivers SoC EDA Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 10

11 Time-To-Market SoC IP EDA Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 11

12 SoC SoC SoC R. Collett, Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 12

13 SoC Source: Aart de Geus, Chairman & CEO of Synopsys, Boston SNUG keynote address Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 13

14 WG1 SoC SoC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 14

15 85 EDA CAD Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 15

16 Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 16

17 WG1 ITRS Design System Drivers SoC EDA Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 17

18 IP Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 18

19 Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 19

20 LSI Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 20

21 IP Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 21

22 EDA CAD EDA EDA RTL Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 22

23 EDA 100% = Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 23

24 EDA LSI LSI EDA LSI Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 24

25 Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 25

26 : Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 26

27 WG1 ITRS Design System Drivers SoC EDA Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 27

28 SoC SoC SoC CMM 1 SoC CMM = The Capability Maturity Model SoC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 28

29 EDA CAD EDA EDA Next Next EDAEDA EDA EDA EDA EDA EDA ASIC EDA EDA EDA EDA ESD EDA Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 29

30 CMOS IR A B C A B SoC C Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 30 D

31 WG1 ITRS WG1 SoC EDA Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 31

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

JJTRC 2005

JJTRC 2005 Work in Progress - Do not publish STRJ WS: March 10, 2006, WG7 A&P 1 Jisso 2006310 STRJ WG-7 () Work in Progress - Do not publish STRJ WS: March 10, 2006, WG7 A&P 2 ( JEITA Chairman: () Chairman: () :

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

DAシンポ2003_SLD研_発表原稿

DAシンポ2003_SLD研_発表原稿 DA 2003 - JEITA SLD - JEITA E D A S L D NEC http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Copyright 2002-2003 JEITA, All rights reserved SLD Copyright 2002-2003 JEITA, All rights

More information

MAAB でのプレゼンテーション に関する提案

MAAB でのプレゼンテーション に関する提案 J-MAAB 2005 10 27 (1) OBD (2) (3) (4) OEM (5) MAAB J-MAAB 1. MBD (Model-Based Development) MBD Process 2. MAAB MAAB 3. J (Japan) -MAAB J-MAAB 4. J-MAAB WG WG 5. MBD Virtual World *SILS Rapid *HILS Real

More information

PowerPoint

PowerPoint 2011 12 6PTC Live TechForum TOKYO manji@rd.denso.co.jp 1/ 52 1949 12 16 1,874 ( ) 1 9,457 3 1,315 ( ) 38,318 123,165 ( ) 2011 3 31 Gr. Gr. Gr. Gr. Gr. PF 2/ 52 1 2 3 4PMT 5 Integrity 6 7 8 1/2 3/ 52 OEM

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

システムモデルによる電子機器アーキテクチャに関する熱設計検討 村岡祥雄 (Yoshio Muraoka) 慶應義塾大学大学院システムデザイン マネジメント研究科附属 SDM 研究所

システムモデルによる電子機器アーキテクチャに関する熱設計検討 村岡祥雄 (Yoshio Muraoka) 慶應義塾大学大学院システムデザイン マネジメント研究科附属 SDM 研究所 システムモデルによる電子機器アーキテクチャに関する熱設計検討 村岡祥雄 (Yoshio Muraoka) 慶應義塾大学大学院システムデザイン マネジメント研究科附属 SDM 研究所 Agenda 電子機器の熱設計とは? システムモデルによる熱設計検討 熱設計のビューにおけるアーキテクチャ検討例 - コンセプト設計 - 設計変更 1 電子機器の熱設計とは? 2 熱問題 独立行政法人製品評価技術基盤機構

More information

untitled

untitled OJT OJT OJT OJT OJT OJT OJT Off-JT OJT 1970 OJT OJT OJT OJT OJT OJT OJT OJT OJT OJT OJT OJT OJT OJT OJT 414 1 2 () 1980 (high performance work system, HPWS)HRM OJT OJT OJT OJT () OJT OJT 414 OJT ( ) OJT

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

参考1 第2回自動車ワーキンググループ議事録(未定稿)

参考1		第2回自動車ワーキンググループ議事録(未定稿) 19 9 25 15 30 17 30 10 1012 2 WG 9 10 1 WG 1 CO2 CO2 CO2 WG 1 CO2 CO2 CO2 1/2 1/2 CO2 2 2 CO2 2 WG CO2 1 2 3 7 3 3 3 WG CO CO 10 1.5 50 50 2 1 1 CO 2 CO 4 CO CO 2 2 p.4 7 7 1 7 1 1 5 p.7 2 1 1 1.5 2 1

More information

2006 CMMI 4 EVM Applied EVM for under CMMI Level 4 IT Project IT

2006 CMMI 4 EVM Applied EVM for under CMMI Level 4 IT Project IT 2006 CMMI 4 EVM Applied EVM for under CMMI Level 4 IT Project 2006 1 14 IT 1970 (S45) AP 1983 (S58) UNIX 1988 (S63) 2 1990 (H2) 1994 (H6) ITSS 2000 (H12) IT 2004 (H16) IT ITC IT SD BSC January 14, 2006

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

スライド 1

スライド 1 14 th ESEC@ 東京国際展示場 ( ビッグサイト ) JMAAB(Japan Matlab Automotive Advisory Board) の活動 ~ モデルベース開発技術 の推進と人材育成 ~ 2011 年 5 月 11 日 大畠明 JMAAB トヨタ自動車株式会社 JMAAB とは? JMAAB (Japan MATLAB Automotive Advisory Board) は国内の自動車

More information

YMCA OUTLINE 1 2

YMCA OUTLINE 1 2 - - wada@ie.u-ryukyu.ac.jp http://bw-www.ie.u-ryukyu.ac.jp/~wada http://www.magnadesignnet.com LSI SRAM 3 Pentium Cache 3 LSI H/W Cache LSI YMCA OUTLINE 1 2 2002 718 LSI 13 12 12 12 21 14 Organization

More information

- Principles for a Telecommunications management network 2.0 2001 11 27 THE TELECOMMUNICATION TECHNOLOGY COMMITTEE 2 JT-M3010 ...19...20...20...20...20...21...22...23...24 3 JT-M3010 ...26...28...29...34...34...35...35...37...37...37...37...37

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

ITRS Tokyo Meeting

ITRS Tokyo Meeting 機能検証の解決策の深耕ー SOC 機能検証技術の進展と今後の取り組み - 2011 年 3 月 4 日 JEITA 半導体技術ロードマップ専門委員会 (STRJ) 設計ワーキンググループ (WG1) Work in Progress - Do not publish STRJ WS: March 4, 2011, WG1 Design 1 目次 はじめに ワーキングメンバ スコープ ミッションなど

More information

スライド 1

スライド 1 2007 10 9 PRIUS LS460 Direct Injection In E VVT-i 9 17 5 6 12 18 7 11 24 10 27 16 15 4 16 25 25 16 4 15 4 16 19 4 26 14 13 19 20 20 8 22 21 3 1 23 21 2 ECU 200 V6 3.0L 3GR-FSE (MIPS) CPU speed 400 100

More information

システムオンチップ技術

システムオンチップ技術 (SoC) 2004/6/11 Yukihiro Nakamura e-mail: nakamura@kuee.kyoto-u.ac.jp u.ac.jp (VLSI) () VLSI DIPS IBM370 CPU MH MB GB DIPS-11201975 VAIO LSI Sony VAIO CPU MH MB GB Pentium () () V,S.,B Sun Micro

More information

Microsoft PowerPoint - ◆STRJ WG1 2014WS原稿.ppt

Microsoft PowerPoint - ◆STRJ WG1 2014WS原稿.ppt ITRS2013 改訂ポイントと 新 Consumer Portable SOC モデル 2014 年 3 月 7 日 JEITA 半導体技術ロードマップ専門委員会 (STRJ) 設計ワーキンググループ (WG1) 1 目次 はじめに ワーキングメンバ スコープ ミッションなど ITRS2013 アップデートのポイント システム ドライバ章 デザイン章 新 Consumer Portable SOCモデル

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

XJTAG

XJTAG LDRA/ T-VEC/ MetaEdit+ Domain Specific Modeling Ashling/Jtag ARC SmartCards LAUTERBACH /Jtag ARM PowerPC K MIPS XJTAG HW Domain-Specific Modeling Domain-Specific Modeling Software Technology 30 Copyright

More information

untitled

untitled 19 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29 - -

More information

untitled

untitled WG 2013 P P P P WG WG P WG 12 12 P P20 200 200 200 200 2011 2012 2012 11 1 2 21 2 2 1 2 2 2 2 1 2 1 2 11 720,450(8.0) 37,845(0.4) 4) 37.7 7 5.4 0.1 8,299,154(91.6)

More information

Microsoft PowerPoint - DO-178C満たすべきObjectivesとツール資格A.pptx

Microsoft PowerPoint - DO-178C満たすべきObjectivesとツール資格A.pptx RTCA/DO-178C の詳細 ~ 満たすべき Objectives とツール資格について ~ 平成 5 年 1 月 6 日 MHIエアロスペースシステムズ株式会社 -1- 1.1 概要 DO-178C とは そのタイトルが Software Considerations in Airborne Systems and Equipment Certification であり RTCA( 航空無線技術委員会

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

Microsoft PowerPoint - 隅谷様(パナソニック).ppt [互換モード]

Microsoft PowerPoint - 隅谷様(パナソニック).ppt [互換モード] SOC の低消費電力設計技術の 課題と解決策 - 設計生産性向上との両立に向けて- 2010 年 1 月 29 日 JEITA 半導体技術ロードマップ専門委員会 (STRJ) 設計ワーキンググループ (WG1) 委員 パナソニック ( 株 ) セミコンダクター社 隅谷三喜夫 発表内容 ITRS と STRJ STRJ WG1 のミッション メンバー 活動史 SOC の低消費電力設計技術の課題と解決策

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

SH Mobile Consortium Day

SH Mobile Consortium Day 2006 7 20 BTO 2 Java TM 3 DoCoMo, KDDI (Software) Sprint, Nextel (PCB Architecture) Vodafone, Hutchinson (System Design) Orange, T-Mobile (Software Design) Telefonica (ID Design) Verizon, Cingular, China

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

untitled

untitled 1959 TOC 2007 TOC CCPM) TOC OPT 1970 s 1980 s 1990 s 2000 s The New Economics NTT Input Output TOC (Theory Of Constraint) Focus =Not to do The Focusing Steps IDENTIFY the system s constraint(s). Decide

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

Micro-D 小型高密度角型コネクタ

Micro-D 小型高密度角型コネクタ Micro- 1 2 0.64 1.27 1 2 3 4 5 6 7 8 9 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 1 2 3 4 5 6 7 8 9 10 11 12 13 1.09 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 3 4 J J

More information

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

車両開発における構造・機構のCAE

車両開発における構造・機構のCAE Mechanical CAE in Automotive Design Yoshio Kojima The urgent issues for automobile companies today are how to reduce the time and cost required for developing a new car. CAE ( Computer Aided Engineering

More information

CONTENTS Model-driven systems engineering (MDSE) SysML TM MARTE TM Eclipse TM 2

CONTENTS Model-driven systems engineering (MDSE) SysML TM MARTE TM Eclipse TM 2 TM 2008 IBM Corporation CONTENTS Model-driven systems engineering (MDSE) SysML TM MARTE TM Eclipse TM 2 CONTENTS Model-driven systems engineering (MDSE) SysML TM MARTE TM Eclipse TM 3 Software Electronics

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

日立評論2007年3月号 : ソフトウェア開発への

日立評論2007年3月号 : ソフトウェア開発への Vol.89 No.3 298-299 Application of Statistical Process Control to Software Development Mutsumi Komuro 1 23 1985 ACM IEEE 1 195QC Quality Control 1 2 CMM Capability Maturity Model CMMI Capability Maturity

More information

/ / SeamlessCVE

/ / SeamlessCVE / / SeamlessCVE From ASIC to SoC Original Hardware Content CPU Core Memory DSP Core Glue Logic PCI Controller Original Hardware Content USB Controller USART Slide 2 SoC SoC ASIC System Architecture ASIC

More information

5005-toku3.indd

5005-toku3.indd 3 1 CMMICMM Capability Maturity Model ISO : International Organization for Standardization IEC : International Electrotechnical CommissionJTC1 : Joint Technical Committee 1SC7 : Sub Committee 7 SC7 WG

More information

< F836F A815B934B8D87955C E706466>

< F836F A815B934B8D87955C E706466> 92897 92893 10,000 92894 10,600 92895 11,300 92896 11,900 92897 11,900 92898 15,200 2 92888 92873 4,200 92874 4,200 92875 7,000 92876 7,000 92877 7,000 92878 8,800 92879 8,800 92880 13,300 92881 8,800

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

2

2 Copyright 2008 Nara Institute of Science and Technology / Osaka University 2 Copyright 2008 Nara Institute of Science and Technology / Osaka University CHAOS Report in US 1994 http://www.standishgroup.com/sample_research/

More information

HDDのインタフェース技術

HDDのインタフェース技術 HDD HDD Interface Technology 58, 1, 01,2007 HDD FC ATA SATASerial Attached SCSI SAS HDD HDD HDD SATA HDD HDD Abstract To enable faster data transfer, the interface of hard disk drives (HDDs) has been changed

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

NEXT 1 2 3 1 2 3 4 5 6 1 2 1 2 1 1 1 1 2 3 1 2 3 4 5 6 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 1 2 3 1 1 2 1 2 3 4 5 6 7 1 2 3 1 2 1 2 3 4 5 6 7 8 9 1 1 1 2 1 2 1 2 3 4 5 6 1 2

More information

Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT

Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT 日本 EDA 標準化の推進状況 IEEE-SA 東京セミナー 2008 年 5 月 14 日 JEITA EDA 技術専門委員会 特別委員 IEC TC93 WG2 コ コンベナ NEC システムテクノロジー ( 株 ) CWB 事業推進室テクニカル ディレクター小島智 1 はじめに EDA とは? EDA 標準の位置付け EDA 標準のエレクトロニクス産業への貢献 JEITA EDA 技術専門委員会での

More information

2002 715 02-13M 1. 200110 024 2. 3. 4. 100 60 5. 6. 2002715 1 1 1 4 9 9 12 12 13 14 14 15 15 17 17 18 22 22 23 25 25 25 29 200110 024 3 1 1965 500 800 3,000 2,000 1 1 60 2.84 1.173 1 2002 71 1,726 1,085

More information

Web-ATMによる店舗向けトータルATMサービス

Web-ATMによる店舗向けトータルATMサービス Web- Total Service for Stores by Web- 土田敬之 阿久津和弘 山本耕司 高木晋作 川端正吾 幾見典計 あらまし Automated Teller Machine 2002 2004 Web- 2013 3 5 4400 Web- Abstract Automated teller machines (s) for financial institutions have

More information

untitled

untitled - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - ..... - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - -- - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

目    次

目    次 1 2 3 t 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 IP 169 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

More information

SystemC H2’2000 Accomplishments

SystemC H2’2000 Accomplishments SystemC 2004 1 29 (Chairman of OSCI) http://www.systemc.org/ OSCI SystemC SystemC 2 OSCI Forte (2003 8 ) ST Microelectronics (2003 11 ) Board Member Alain M. Clouard Officer (2003 8 ) Chairman : ( ) President

More information

Design and Implementation of Centralized Financial Management system 厦门大学博硕士论文摘要库

Design and Implementation of Centralized Financial Management system 厦门大学博硕士论文摘要库 Design and Implementation of Centralized Financial Management system 2011 4 Internet JSP MVC JSPMVC Abstract Abstract With computer technology, network technology and information technology, more and

More information

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

%

% TIME TO SHINE 15 [ 212 6 1 213 5 31 ] 1419 1998 15 7% 3 6 75 2 2 213 5214 5 213 5 213 5 152,3231.2% 5,28629.7% 5,422.1%2,648 237.9% 1 213 8 25.8 23%15.8 213 4 1 2164 5 214 5 177,216.3% 6,115.4% 6,19.9%

More information

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 1 2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 CPU 1 1 2 2 n CPU SRAM DRAM CPU 3 4 5 6 7 N+ N+ P SRAM DRAM 8 Computer Architecture 9 DRAM 3 4 10 11 Ta 2

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

XV-Z9000表1-表4

XV-Z9000表1-表4 R 2 3 ! @ # $ % ^ &! @ # $ % ^! @ # $ % ^! @ # $ % 4 5 6 7 8 9 10 11 35 32 90 90 17 12 13 62 36, 41 36, 41 33 32 33 63 40 17 14 29, 30 24 26, 27 25 30 28 18 28 15 18 32 33 34 62 50 33 62 63 34 18 36 40

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Linux @ S9 @ CPU #0 CPU #1 FIB Table Neighbor Table 198.51.100.0/24 fe540072d56f 203.0.113.0/24 fe54003c1fb2 TX Ring TX Ring TX Buf. Dsc. RX Buf. Dsc. TX Buf. Dsc. RX Buf. Dsc. Packet NIC #0 NIC #1 CPU

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F Vol. 42 No. 4 Apr. 2001 VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating Frequencies Maki Horita, Tsutomu Yoshinaga, Kanemitsu

More information