Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT

Size: px
Start display at page:

Download "Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT"

Transcription

1 日本 EDA 標準化の推進状況 IEEE-SA 東京セミナー 2008 年 5 月 14 日 JEITA EDA 技術専門委員会 特別委員 IEC TC93 WG2 コ コンベナ NEC システムテクノロジー ( 株 ) CWB 事業推進室テクニカル ディレクター小島智 1

2 はじめに EDA とは? EDA 標準の位置付け EDA 標準のエレクトロニクス産業への貢献 JEITA EDA 技術専門委員会での EDA 標準化活動 活動体制 IEEE, IEC and IEICE( 通信学会 ) との連携 活動の成果 設計技術の新しい課題 現在の活動と今後の進め方 まとめ - アジェンダ - 2 Copyright 2008 JEITA

3 EDA とは? Electronic Design Automation (EDA) 広義では 電子機器システムの構想設計 実装設計と設計検証を可能にする 設計技術 (DT: Design Technology) 狭義では エレクトロニクス製品の設計ツール 設計技術は 設計ツール 設計ライブラリと設計方法論 設計技術は システム設計者の設計構想と設計目標をコスト低減を考慮し 製造可能で検査可能な形式に変換する技術 EDA システム設計者 設計ツール 設計ライブラリ 設計方法論 3 Copyright 2008 JEITA

4 EDA 標準の位置付位置付け EDA 標準は 多種多様なツールを統合した設計システムへの共通な意味付けのための機構を提供 現在まで開発した EDA 標準の分類は 設計記述言語 検証用言語 設計ライブラリ フォーマット データモデルとその API 製造とのインタフェース フォーマット System Design Specification (Function + Constraints) Implement w/opt. Equivalence check Software Logic Scan/BIST Circuit Place Route Communicate Libraries Verification Function Performance Testability Analyze Area Timing Power Noise DFT DFM Data for manufacturing 4 Copyright 2008 JEITA

5 牧本牧本ウェーブウェーブ と EDA 1991 年に英国の新聞が命名 ( 牧本氏 テクノビジョン代表 ) 半導体産業は 標準化指向とカスタム指向が10 年毎に入れ替わる 設計技術の革新がパラダイムシフトをドライブ 特にASIC( 顧客サイトで設計 ) とFPGA( 顧客サイトで設計変更 ) ES level RT level Gate level Trs. level Abstraction Layout Editor Auto P&R RTL Synthesis C Synthesis Next Wave - New Design Method - Maskless Method - New SiP Automated SoC/SiP 17 5 Copyright 2008 JEITA

6 設計危機 低消費電力 SoC(ITRS システムドライバー ) 2001 年での設計コスト :15M$ 過去 12 年設計技術の改善なし :342M$ 今後も 設計コストを抑止するための設計技術の改善努力が必要 ( 出展 :2001 ITRS) 6 Copyright 2008 JEITA

7 JEITA JEITA 組織と EDA 技術専門委員会 Japan Electronics and Information Technology Industries Association Policy and Strategy Board Environment Board Consumer Electronics Board IT and Industrial Systems Board Display Devices Board Electronic Components Board Semiconductor Board (JEITA-JSIA) Semiconductor Industrial Affairs Committee Semiconductor International Affairs Committee Full Member : 374 companies Associate Member : 146 companies Semiconductor Technology Committee Marketing Committee Road Map Committee EDA Technical Committee (EDA-TC) - Member : 22 Companies Fujitsu, Panasonic, NEC EL, Oki, Toshiba, Renesas, Sanyo, Sharp, Sony, Rohm, Seiko Epson, Synopsys, Toppan, Cadence, JEDAT, Mentor, Ricoh, Zuken, Marubeni.Sol, Magma, CoWare and HDLab 7 Copyright 2008 JEITA

8 EDA 技術専門委員会の体制 (2008 年度 ) EDA 技術専門委員会山田委員長 ( 三洋電機 ) EDA 標準化小委員会 Acceleration of Standardization SystemC Working Group SystemVerilog Working Group Power Format Working Group Solution for Technical Challenges ナノ世代物理設計 Working Group Promotion of EDA Technology EDSFair 2009 実行委員会 太田主査 ( 松下電器 ) 長谷川主査 ( 富士通 ) 浜口主査 ( 松下電器 ) 中森主査 ( 富士通 ) 河村委員長 ( 富士通 ) 金本主査 ( ルネサス ) 8 Copyright 2008 JEITA

9 23 人委員登録 半導体半導体ベンダーベンダー EDA ベンダー 大学大学からから参加 主査 : 太田 ( 松下電器 ) 副主査 : 江田 ( ローム ) 小島 (NEC システムテクノロジー ) 国際標準化機関 (IEEE と IEC) に対して EDA ユーザと EDA 開発の立場で 標準規格化に協力し 意見反映をする 現在ならびに将来顕在化する設計課題を問題提起し 標準化の立場で 問題解決のため設計技術とこれに必要な EDA 標準を提案する 下部組織 EDA 標準化小委員会の活動状況 SystemC Working Group SystemVerilog Working Group Power Format Working Group 9 Copyright 2008 JEITA

10 国内連携 IEEE と IEC との連携連携と協力 電子情報通信学会に置かれたIEC TC93 JNCのWG2( 設計記述言語 ) に主査とエクスパートを派遣し 国内活動を推進 国際連携 IEEE-DASC(DA 標準委員会 ) と連携協力し ドラフトレビューと投票に参画 : P1800WG (SystemVerilog), P1666WG (SystemC), P1801WG(Power format) JEITA EDA 技術専門委員会が 2004 から IEEE-SA メンバーになり 2005 年 IEEE1800 と IEEE1666 標準化に協力 小島が2000 年からTC93 WG2コ コンビナを務め USNC のD.Brophy 氏と 協力し IEC の新規標準規格化と標準メンテナンスを推進 2003 年の IEEE-IEC デュアル ロゴ協定により EDA 標準規格化が加速 10 Copyright 2008 JEITA

11 連携と協力協力の関係 IEICE IEC TC93 JNC JEITA WG2 JNC EDA-TC EDA STD-TSC WG2 JNC Representatives SC-WG SV-WG PF-WG Association member IEEE Collaboration Collaboration TC93 Int l WG1,WG2 Dual Logo agreement IEEE-SA DASC WGs (P1666, P1800, P1801, ) Accellera, OSCI CAG NesCom, RevCom Hand-offs from feeder org. 11 Copyright 2008 JEITA

12 Abstraction ES Level RT Level Gate Level TRS Level Netlist JEITA 標準化活動の成果 1990 年にEIAJ( 現 JEITA) がEDIF 研究委員会を発足以来 現 EDA 技術専門委員会は 標準設計言語とその従属規格に貢献 : EDIF VHDL, VerilogHDL SystemVerilog PSL SystemC RTL Simulation VHDL EDIF200 VLOG RTL Synthesis VLOG Synthesis Subset VHDL Synthesis Subset ESL Simulation SystemC-2005 Verification SystemVLOG-2005 PSL-2005 ESL Synthesis & Verification ANSI-C/C++ based Copyright 2008 JEITA

13 設計生産性と検証生産性 : 設計技術の新しいしい課題 プロセスデバイス技術による大規模化と複雑化 異種多様なシステム技術の統合化 消費電力 : 動的消費より静的消費 ( 漏れ電流 ) が支配的 製造容易性 : 製造装置の問題 ( 光源波長 > 加工寸法 ) Process/Device - Fine geometry - Multiple layers - Cu wire, Low K - Mixed signal Design Technology - Hardware design - Software design - System design Software IPs - Voice synthesis - Voice recognition - Encryption - Communication Hardware IPs - CPUs - DSPs - MPEG - High-speed Interface 13 Copyright 2008 JEITA

14 SystemC-WG 活動状況 ESL 設計手法を支える設計言語ならびに これに準拠した合成と検証の従属規格を標準化することを目的とする 12 名の SystemC 合成エクスパートと検証エクスパートが参加 長谷川主査 ( 富士通 ) ケーデンス 富士通 松下電器 メンター NEC エレ 沖 ルネサス 三洋 ソニー シノプシス 2003 年 10 月に設立 IEEE の規格化に貢献 SystemC による高位合成のコーディングガイドライン要件を開発 現在と今後の活動 : TLM と合成サブセットの標準化活動への参画 (TLM では OSCI 標準ドラフト レビューと課題レポートを OSCI に提出 ) 2005 年から EDSFair@ 横浜で SystemC ユーザフォーラムを主催 併 せて SystemC アンケートを実施し, 欧米のユーザグループと情報共有 14 Copyright 2008 JEITA

15 SystemVerilog-WG 活動状況 新検証手法 ( ランダム アサーション 機能カバレッジ等 ) を支える設計検証言語を標準化することを目的とする 10 社から HDL エクスパートが参画 浜口主査 ( 松下電器 ) ケーデンス 富士通 松下 メンター 沖ネットワーク ルネサス 三洋 シノプシス 東芝 図研 2003 年 10 月に設立 IEEE の規格化に貢献 32 件の提案が採用 VerilogHDL と SystemVerilog の統合一本化を目的とする IEEE P1800WG に参画 2007 年 5 月に指摘した 35 件課題の採用状況をフォロー 最終ドラフトレビューに実施と 2008 年 7 月投票を予定 15 Copyright 2008 JEITA

16 Power Format-WG 活動状況 低消費電力設計フローの全体をマネージするパワーフォーマットを標準化することを目的とする 7 社から低消費電力の設計技術エクスパートが参画 中森主査 ( 富士通 ) 富士通 松下電器 ソニー ルネサス 三洋 東芝 セイコーエプソン 2007 年 10 月に設立し 2 つのフォーラム標準 (UPF と CPF) を実用面 から調査検討した UPF vs. CPF 機能比較表を開発 検討範囲 : Multi-voltage and Shut-off mechanism 検討の優先 : #1= 検証と合成, #2= ライブラリと設計ルールチェック 2 つのフォーマットの共通と相違を明確化 2008 年 5 月に始まる最終ドラフトレビューと最終投票に参加予定 16 Copyright 2008 JEITA

17 まとめ EDA( 広義での設計技術 ) は コスト効率の良い電子機器システムの設計に大きく寄与してきた EDA 標準は 統合設計システムを構築する上で重要な要素である JEITA EDA 技術専門委員会は IEEE,IEC と電子情報通信学会と連携協力して EDA 標準規格化に貢献してきた 今後も 顕在化する設計課題の解決に向け活動を推進する 参考文献 (1) The international technology roadmap for semiconductors: 2001 and 2005 (2) 小島智 : システム LSI 大全 2007, 電子ジャーナル, pp.30-34, 2007 (3) EDA アニュアルレポート : 2001, 2002 and 2006, JEITA EDA 技術専門委員会 17 Copyright 2008 JEITA

18 JEITA : Japan Electronics and Information Technology Industries Association ( URL EDA-TC : EDA Technical Committee ( URL 18

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

<4D F736F F F696E74202D E93788B5A8F7088CF88F589EF95F18D902D B5A8F7090EA96E588CF88F589EF BC967B

<4D F736F F F696E74202D E93788B5A8F7088CF88F589EF95F18D902D B5A8F7090EA96E588CF88F589EF BC967B 委員長樋渡有 ( 株式会社東芝 ) 1 委員会の目的 組織概要 EDA( Electronic Design Automation ) に関連する技術およびその標準化の動向を調査し その発展 推進を図り もって国内外の関係業界の発展に寄与することを目的とする ミッション 日本エレクトロニクス業界全体の EDA 技術レベルの向上 米国 EDA ベンダ主導の国際 EDA 標準化に対し 日本業界の意向 意見

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

SystemC H2’2000 Accomplishments

SystemC H2’2000 Accomplishments SystemC 2004 1 29 (Chairman of OSCI) http://www.systemc.org/ OSCI SystemC SystemC 2 OSCI Forte (2003 8 ) ST Microelectronics (2003 11 ) Board Member Alain M. Clouard Officer (2003 8 ) Chairman : ( ) President

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

研究レポート表紙.PDF

研究レポート表紙.PDF No.118 November 2001 ISO ISO takeishi@fri.fujitsu.co.jp ISO14001 CEAR A4823 1. ISO14000 ISO14000 ISO14001 2. ISO ISO. ISO14001 ISO9000 OHSAS18001 18002 ISO14001 ISO IEC13335 ISO10006. ISO ISO14001 ISO9000

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

DAシンポ2003_SLD研_発表原稿

DAシンポ2003_SLD研_発表原稿 DA 2003 - JEITA SLD - JEITA E D A S L D NEC http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Copyright 2002-2003 JEITA, All rights reserved SLD Copyright 2002-2003 JEITA, All rights

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Microsoft PowerPoint - 富士通様_外部公開用0608.ppt

Microsoft PowerPoint - 富士通様_外部公開用0608.ppt Power Format の現状と 富士通 CPF Low Power 設計の実績 2009/05/20 富士通マイクロエレクトロニクス株式会社 Agenda 富士通のCPFへの取り組みと実績 富士通 CPF Low Power 設計フロー CPFとUPFの比較 1 Agenda 富士通のCPFへの取り組みと実績 富士通 CPF Low Power 設計フロー CPFとUPFの比較 2 Low Power

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

No Slide Title

No Slide Title Mentor Graphics High Speed Board 2002 January 2002 Electronic Design and Solution Fair Agenda AutoActive / DRC Interconnectix / IBIS SPICE AutoActive + Interconnectix + EMI Sigrity SPEED2000 ( 2 AutoActive

More information

5005-toku3.indd

5005-toku3.indd 3 1 CMMICMM Capability Maturity Model ISO : International Organization for Standardization IEC : International Electrotechnical CommissionJTC1 : Joint Technical Committee 1SC7 : Sub Committee 7 SC7 WG

More information

システムオンチップ技術

システムオンチップ技術 (SoC) 2004/6/11 Yukihiro Nakamura e-mail: nakamura@kuee.kyoto-u.ac.jp u.ac.jp (VLSI) () VLSI DIPS IBM370 CPU MH MB GB DIPS-11201975 VAIO LSI Sony VAIO CPU MH MB GB Pentium () () V,S.,B Sun Micro

More information

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7 電気電子工学専攻 54001 電磁波特論 2-0-0 電気電子コース EEE.S401 電気電子工学専攻 54002 無線通信工学 2-0-0 電気電子コース EEE.S451 Advanced Electromagnetic Waves ( 電磁波特論 ) Wireless Communication Engineering ( 無線通信工学 ) 旧電磁波特論あるいは旧 Advanced Electromagnetic

More information

JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association ED-5001A 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and

JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association ED-5001A 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and 2.7V to 3.6V(wide range) Power supply voltage and interface

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Microsoft Word - 00__050608_.doc

Microsoft Word - 00__050608_.doc EDA アニュアルレポート 2004 Annual Report on Electronic Design Automation - 65 nm から 45 nm テクノロジ世代の EDA 技術の進展に向けて - 2005 年 5 月発行 作成 EDA 技術専門委員会 EDA Technical Committee 発 行 社団法人電子情報技術産業協会 Japan Electronics and Information

More information

Microsoft PowerPoint - 第3回スライド final [互換モード]

Microsoft PowerPoint - 第3回スライド final [互換モード] 新興ベンダ ガイド ツアー 第 3 回 14:45-15:5515:55 ツアーガイド : 秋山俊恭 ( 独立行政法人科学技術振興機構 ) 訪問企業 順番 会社名 ( 日本での取扱 ) 本社 URL カテゴリ主な業務内容 1 AVERY DESIGN SYSTEMS. (Chip Start or 台湾オフィス ) 米国 http://www.averydesign.com/ デジタル アサーション生成

More information

Annual Report on Electronic Design Automation 65 nm 45 nm EDA EDA Technical Committee Japan Electronics and Information Technology Industries Association 目 次 巻頭言 1 2006 年度 JEITA/EDA 技術専門委員会委員一覧 3 略語一覧

More information

Microsoft Word _zuken_2019_03_q2_report_2018_12_17_japanese.docx

Microsoft Word _zuken_2019_03_q2_report_2018_12_17_japanese.docx URL: www.walden.co.jp E-mail: info@walden.co.jp 03 (3553) 3769 6947 EPS DPS BPS FY03/2017 22,199 1,596 1,571 1,206 51.9 20.0 1,209 FY03/2018 23,582 2,025 2,114 1,511 65.0 22.0 1,295 FY03/2019 25,500 2,500

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

日経ビジネス Center 2

日経ビジネス Center 2 Software Engineering Center Information-technology Promotion Agency, Japan ソフトウェアの品質向上のために 仕様を厳密に 独立行政法人情報処理推進機構 ソフトウェア エンジニアリング センター 調査役新谷勝利 Center 1 日経ビジネス 2012.4.16 Center 2 SW 開発ライフサイクルの調査統計データ ソフトウェア産業の実態把握に関する調査

More information

untitled

untitled MCPC-TR-011 Bluetooth AV Profile Multi Codec Version 1.0 Japanese 2009 3 25 Version 2009325 1.00 Base version initial release. i : 105-0011 3-5-12 (MCPC) 03-5401-1935 03-5401-1937 office@mcpc-jp.org WEB

More information

Microsoft PowerPoint - 隅谷様(パナソニック).ppt [互換モード]

Microsoft PowerPoint - 隅谷様(パナソニック).ppt [互換モード] SOC の低消費電力設計技術の 課題と解決策 - 設計生産性向上との両立に向けて- 2010 年 1 月 29 日 JEITA 半導体技術ロードマップ専門委員会 (STRJ) 設計ワーキンググループ (WG1) 委員 パナソニック ( 株 ) セミコンダクター社 隅谷三喜夫 発表内容 ITRS と STRJ STRJ WG1 のミッション メンバー 活動史 SOC の低消費電力設計技術の課題と解決策

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

2-工業会活動.indd

2-工業会活動.indd 工業会活動 ~SAE(Society of Automotive Engineers) 委員会参加報告 ~ 1. はじめに SAE RTCA Radio Technical Commission for Aeronautics ARINC Aeronautical Radio, Incorporated SAE RTCA ARINC FAA Federal Aviation Administration

More information

Profile 39% 9,311 33% 7,846 28% 6,853 2

Profile 39% 9,311 33% 7,846 28% 6,853 2 1 Profile 39% 9,311 33% 7,846 28% 6,853 2 Message 3 Vision 4 5 Executive Summary 6 7 Management Systems 8 9 Management Systems 10 11 Design for Environment 12 3R 3R 3R 3R 13 14 Design for Environment P

More information

Hitachi Field Matching Hitachi Recruiting My Page Hit

Hitachi Field Matching Hitachi Recruiting My Page Hit 01 02 03 Hitachi Field Matching 008 010 020 026 030 036 038 040 046 050 052 062 064 066 Hitachi Recruiting My Page 068 070 072 074 076 080 082 002 Hitachi Field Navigator Hitachi Field Navigator 003 BUSINESS

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション システム デザイン フォーラム 2012 LPB 相互設計セミナー 競争力を創出する LPB 標準フォーマットの流通と活用 ~EDA ツールのデモとユーザによる活用方法の議論 ~ 日時 :2012 年 11 月 15 日 ( 木 ) 15:45~17:00 場所 : パシフィコ横浜アネックスホール F201 L P B Copyright JEITA EDA-TC LPB-WG All Rights

More information

untitled

untitled UP 2008/2/16 20080216 UP 1. 28% SFA 2. 3. 2008/02/16 (C)2008 2 1 UP 2008/2/16 20081 () ABC 20078 MR MR 2008/02/16 (C)2008 3 2008/02/16 (C)2008 4 2 UP 2008/2/16 1. 2. 3. 4. 5. 6. 2008 2008/02/16 (C)2008

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

AMR日本語版書式

AMR日本語版書式 ISSN 1347-4448 ISSN 1348-5504 5 2 (2006 2 ) 2005 11 16 1 UMC LSI SoC Solution Foundry 90nm 65nm 100nm UMC TSMC UMC SoC SoC 90nm 200mm 300mm 300mm UMC UMC UMC UMC SoC 1 2005 11 16 GBRC GBRC 2006 Global

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

J. Jpn. Inst. Electron. Packaging 17(4): (2014)

J. Jpn. Inst. Electron. Packaging 17(4): (2014) 一般社団法人エレクトロニクス実装学会 第 3 回定時総会報告 1. 26 5 23 14 00 15 00 2. 167-0042 3-12-2 3. 2,230 2,230 4. 1,140 37 1,103 1,140 5. 6. 1 25 2 25 3 26 4 26 5 7. 11 15 2 TDK 1 25 25 2 25 25 25 4 1 26 3 31 23 2 3 26 26 4

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

Microsoft PowerPoint - sdf2007_sc3

Microsoft PowerPoint - sdf2007_sc3 システム デザイン フォーラム 2007 TLM 標準化の動向について 半導体理工学研究センター開発第 2 部高位設計開発室武井勉 目次 1. なぜTLMか 2. 各団体の活発な標準化活動 3. TLM 普及のための次のステップ 4. STARCの取り組み 2 1. なぜ TLM か SoC の複雑大規模化に対して 設計抽象レベルの高位化が必須 抽象レベルの高位化再利用システム規模合成 RTL TLM

More information

R I T S U M E I K A N U N I V E R S I T Y システムレベル設計概説 立命館大学理工学部電子情報デザイン学科 (Dept. of VLSI System Design) 福井正博 VLSI システム研究会

R I T S U M E I K A N U N I V E R S I T Y システムレベル設計概説 立命館大学理工学部電子情報デザイン学科 (Dept. of VLSI System Design) 福井正博 VLSI システム研究会 システムレベル設計概説 立命館大学理工学部電子情報デザイン学科 (Dept. of VLSI System Design) 福井正博 VLSI システム研究会 2004.7.10 1 LSI の設計困難度の増大 ITRS2001: Additional Design Technology Requirements Year of Production 2001 2002 2003 2004 2005

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

東海大学紀要 情報通信学部.indd

東海大学紀要 情報通信学部.indd vol.,no1,011,pp.19-5 論文 λ 0.18µ TEG *1 *1 * * The Implementation Trial and the TEG Chip Development o The Lambda Rule Scalable Cell Libraries to Rohm0.18µm Process by Takuya HIGUCHI Takuya Higuchi, *1,

More information

586 HEMS 1 HEMS Table 1 Various comparisons of Smart Tap HEMS. HEMS HEMS 1 HEMS HEMS PLC Power Line Communication EL HEMS 2) 3) Bluetooth 4),5) ZigBee

586 HEMS 1 HEMS Table 1 Various comparisons of Smart Tap HEMS. HEMS HEMS 1 HEMS HEMS PLC Power Line Communication EL HEMS 2) 3) Bluetooth 4),5) ZigBee Vol. 52 No. 2 585 595 (Feb. 2011) HEMS 1 2 2 3 1 ZigBee HEMS Home Energy Management System HEMS HEMS ZigBee HEMS Development and Evaluation of Easy-HEMS for Indication Using Wireless Sensor Networks Keiichi

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

WG1  MD-WG:含有化学物質開示手順 (IEC 62474)

WG1  MD-WG:含有化学物質開示手順 (IEC 62474) 資料 2 IEC62474 の概要 IEC62474 の規格及び VT62474 の活動内容について 2013.05.16 1 内容 IEC 62474に至る業界標準化活動の経緯 米国提案 (NP) の内容 IEC 62474の概要 策定方針 規格の要点 VT62474 の活動 2 E-waste & packaging laws 世界の環境法規制の動向 RoHS REACH ErP Batteries

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15. 1. 2. 3. 16 17 18 ( ) ( 19 ( ) CG PC 20 ) I want some rice. I want some lice. 21 22 23 24 2001 9 18 3 2000 4 21 3,. 13,. Science/Technology, Design, Experiments,

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

巻頭言 高付加価値 LSI の設計基盤である EDA 技術発展に向けて EDA 技術専門委員会委員長炭田昌哉 半導体は 家電製品や情報端末だけでなく 自動車 医療機器などにも搭載され 社会基盤にはなくてはならないものである 近年は クラウドのような高度情報化社会 エコ社会を実現するために 高信頼性

巻頭言 高付加価値 LSI の設計基盤である EDA 技術発展に向けて EDA 技術専門委員会委員長炭田昌哉 半導体は 家電製品や情報端末だけでなく 自動車 医療機器などにも搭載され 社会基盤にはなくてはならないものである 近年は クラウドのような高度情報化社会 エコ社会を実現するために 高信頼性 EDA アニュアルレポート 2014 Annual Report on Electronic Design Automation - システム オン チップ時代の向こうに - Beyond the "System on a Chip" era 2015 年 6 月発行 作 成 半導体技術委員会 /EDA 技術専門委員会 Semiconductor Technology Committee/EDA Technical

More information

Manufacturing Open Forum 2006 テキスト

Manufacturing Open Forum 2006 テキスト ものづくりに役立つオープン化技術動向がすべてわかる! ( 抜粋 ) 製造業 XML 推進協議会文書連携プロジェクト 会期 2006 年 11 月 29 日 ( 水 ) ~ 2006 年 12 月 1 日 ( 金 ) 場所 主催 参加団体 共催 協賛 パシフィコ横浜会議センター 5 階 IA 懇談会 (IA:Industrial Automation) ものづくり APS 推進機構 MECHATROLINK

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

nv09_win.qxd (Page 1)

nv09_win.qxd (Page 1) News and Views Providing Leading Electronic Design Automation Solutions FEATURE IC Nanometer Design より複雑化するアナログ ミックスシグナル検証に対応する クローズド ループ検証 Success Story FloTHERM で TV 筐体設計の熱課題を解決 Winter 2009 Vol.26 News

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

RHT-G800/G1200

RHT-G800/G1200 3-093-227-08(1) 2 BRAVIA Link BRAVIA Link RHT-G800 RHT-G1200 2007 Sony Corporation RHT-G1200 m 4 8 9 1 1, 1 2 3 2 ... 2... 4... 9... 10... 11... 12 DVD HDMI... 14... 16 DVD... 17... 19 2 *... 20... 22...

More information

JIIAセミナー

JIIAセミナー Digital Interface IIDC URL teli.co.jp/ E-Mail http://www.toshiba-teli.co.jp teli.co.jp/ s-itokawa@toshiba-teli.co.jpteli.co.jp EIA,NTSC EIA,NTSC 4-5 JIIA JIIA - / Digital Interface Digital Interface IEEE1394

More information

Ethernet Roadmap

Ethernet Roadmap 400G 時代を見据えたモジュール / ケージ / コネクタシステム QSFP-DD Kazuhiro Kureishi Cisco Systems G.K. July 27, 2017 ETHERNET ROADMAP 10Mbps からスタートして 2010 年までに 6 つの Ethernet Speed が登場 10M, 100M, 1G, 10G, 40G, 100Gbps 2016 年

More information

01 23A1-W-0012.indd

01 23A1-W-0012.indd Electrical Equipment for High Speed Rolling Stock 23A1-W-0012 1 Fuji Electric produces electrical equipment for Shinkansen (bullet) trains, contributing to the famously safe, high-speed operation of Shinkansen

More information

Structure Modeling of Hardware Structure XMI (Class) UML2 Fig. 1 Requirements Analysis System Analysis The UML modeling with the manual entry Action U

Structure Modeling of Hardware Structure XMI (Class) UML2 Fig. 1 Requirements Analysis System Analysis The UML modeling with the manual entry Action U UML 1 1 2 UML HDL The Verfication and Evalution to the Hard-ware Design Method using the UML Diagrams Daiki Kanou, 1 Ryota Yamazaki 1 and Naohiko Shimizu 2 In this paper, we will practice verification

More information

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat AUTOSAR 1 1, 2 2 2 AUTOSAR AUTOSAR 3 2 2 41% 29% An Extension of AUTOSAR Communication Layers for Multicore Systems Toshiyuki Ichiba, 1 Hiroaki Takada, 1, 2 Shinya Honda 2 and Ryo Kurachi 2 AUTOSAR, a

More information

トピックス 当工業会の事業報告並びに事業計画について 平成 28 年度事業報告書 EU IATA 6.3% 5% % MRJ 9 12 FAA 5 EASA 21% 777X 2019 PW1400G-JM 5 FAA GE9X

トピックス 当工業会の事業報告並びに事業計画について 平成 28 年度事業報告書 EU IATA 6.3% 5% % MRJ 9 12 FAA 5 EASA 21% 777X 2019 PW1400G-JM 5 FAA GE9X 当工業会の事業報告並びに事業計画について 平成 28 年度事業報告書 28 4 1 29 3 31 EU IATA 6.3% 5% 28 7.8% 1.68 28 MRJ 9 12 FAA 5 EASA 21% 777X 2019 PW1400G-JM 5 FAAGE9X 2018 8 F-35A 9 4 X-2 3 C-2P-1 US-2 12 H- B 6 H- A 32 2 1 33 5 3

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Microsoft Word - H19_活動報告書案/広報研究会.doc

Microsoft Word - H19_活動報告書案/広報研究会.doc 19 20 3 1 2 50 2 2 3 4 7 10 11 12 15 1 Computer Software Association of Japan 50 2004 2005 18 19 2 Computer Software Association of Japan 1 19 7 19 20 14:0018:00 CSAJ 1 19 8 3 17:0018:30 CSAJ 2 19 9 4

More information

情報分野のアクセシビリティ標準について

情報分野のアクセシビリティ標準について 情報分野のアクセシビリティ標準について 経済産業省国際電気標準課 小出啓介 Agenda 1. 障害者白書 2. JTC 1/SC 35 で開発しているアクセシビリティ関連規格の紹介 3. 映像字幕事業 (ISO/IEC 20071-23) の取り組みの紹介 4. JIS X 8341-3 の改正について 5. Web Accessibility, BSI Code of Practice 規格の開発と国内対応について

More information

2.R R R R Pan-Tompkins(PT) [8] R 2 SQRS[9] PT Q R WQRS[10] Quad Level Vector(QLV)[11] QRS R Continuous Wavelet Transform(CWT)[12] Mexican hat 4

2.R R R R Pan-Tompkins(PT) [8] R 2 SQRS[9] PT Q R WQRS[10] Quad Level Vector(QLV)[11] QRS R Continuous Wavelet Transform(CWT)[12] Mexican hat 4 G-002 R Database and R-Wave Detecting System for Utilizing ECG Data Takeshi Nagatomo Ikuko Shimizu Takeshi Ikeda Akio Sashima Koichi Kurumatani R R MIT-BIH R 90% 1. R R [1] 2 24 16 Tokyo University of

More information

八戸工大ドリームゲート16p.indd

八戸工大ドリームゲート16p.indd Hachinohe Institute of Technology Dream Gate 2015 Department of Biotechnology and Environmental Engineering Department of Electrical and Electronic Systems Department of KANSEI Design Department of System

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli 1 -- 7 7 2008 12 7-1 7-2 c 2011 1/(12) 1 -- 7 -- 7 7--1 2008 12 1960 1970 1972 U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE 7--1--1 7 1 7 1 1 netlist SPICE 2)

More information

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt ISO/IEC9126 & MISRA-C:2004 ベースソースコード品質診断 ~ MISRA-C:2004 ベース品質診断のご紹介 ~ 株式会社東陽テクニカソフトウェア ソリューション MISRA とは Motor Industry Software Reliability Association の略 ヨーロッパ自動車技術会 (MIRA) の下部組織 MIRA: Motor Industry

More information

24 LED A visual programming environment for art work using a LED matrix

24 LED A visual programming environment for art work using a LED matrix 24 LED A visual programming environment for art work using a LED matrix 1130302 2013 3 1 LED,,,.,. Arduino. Arduino,,,., Arduino,.,, LED,., Arduino, LED, i Abstract A visual programming environment for

More information

001†`032 (Page 1)

001†`032 (Page 1) ISO の国際標準化専門委員会 2 International Organization for Standardization (ISO) CASCO COPOLCO DEVCO INFCO General Assembly Member Bodies Correspondent Members Subscriber Members Council Technical Management

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

untitled

untitled 4 1 4.1................................................. 1 4.1.1........................................ 1-1 4 17 11 30 4.1 2001 49% 2,400 47% 6,000 2001 390 8% 2005 3000 1000 IT 1 ADSL(Asymmetric Digital

More information

untitled

untitled CEATEC JAPAN 2 0 1 1 1 0 6 ICT WG ICT V1.1 X C I M X C O R P O R A T I O N Computer Integration Manufacturing X http://www.cimx.co.jp info@cimx.co.jp C E A T E C J A P A N C I M X C O R P O R A T I O

More information

Microsoft Word HPコンテンツ案 _履歴なし_.doc

Microsoft Word HPコンテンツ案 _履歴なし_.doc O/IEC 27000 ファミリーについて 2011 年 12 月 20 日 1. O/IEC 27000 ファミリーとは O/IEC 27000 ファミリーは 情報セキュリティマネジメントシステム (MS) に関する国際規格であり O( 国際標準化機構 ) 及び IEC( 国際電気標準会議 ) の設置する合同専門委員会 O/IEC JTC1( 情報技術 ) の分化委員会 SC 27( セキュリティ技術

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 657 8531 1 1 E-mail: {soda,matsubara}@ws.cs.kobe-u.ac.jp, {masa-n,shinsuke,shin,yosimoto}@cs.kobe-u.ac.jp,

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

MAAB でのプレゼンテーション に関する提案

MAAB でのプレゼンテーション に関する提案 J-MAAB 2005 10 27 (1) OBD (2) (3) (4) OEM (5) MAAB J-MAAB 1. MBD (Model-Based Development) MBD Process 2. MAAB MAAB 3. J (Japan) -MAAB J-MAAB 4. J-MAAB WG WG 5. MBD Virtual World *SILS Rapid *HILS Real

More information

Accenture-Finance-FSArchitect-vol.42

Accenture-Finance-FSArchitect-vol.42 Financial Services Architect Vol.42 2016 AI 1. AI 2. 3. 4. 5. 6. 7. 8. Financial Services Architect Financial Services Architect FS IT FinTech 2011FinTech 63 2016 FinTech FinTech 20167 2 3 1AI AI1997 2013

More information

Fujitsu Standard Tool

Fujitsu Standard Tool わが社の Green 戦略 富士通マイクロエレクトロニクス 2010 年 1 月 28 日富士通マイクロエレクトロニクス株式会社取締役執行役員常務八木春良 富士通の環境活動 2007 年 12 月 Green Policy Innovation を発表 : 目標 : Total 700 万 t CO2 削減 07~10 年 (4 年間 ) グリーン IT の提供によるお客様や社会の環境負荷低減プロジェクト

More information

京セラ_h01_04

京セラ_h01_04 CORPORATE PROFILE 2016/2017 1 2 4 3 5 6 Cer Fine C Fi Compon p n C Au A p Components Co 7 8 Cerami er Organic Materials 9 10 Solar E Cutting Tooo Medical Materials Jewellery & Kitchen Tools 11 12 Electronic

More information

モバイルプリペイド決済の実現モデルの調査研究

モバイルプリペイド決済の実現モデルの調査研究 ECOM 2005 Gift 1...1 2...4 2.1... 4 2.2... 5 2.2.1... 5 2.2.2... 7 2.2.3... 8 2.2.4... 9 2.3... 10 2.3.1... 10 2.3.2... 12 2.3.3... 14 3... 16 3.1... 17 3.1.2... 18 3.1.3... 20 3.1.4... 21 3.1.5... 23

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information