scicos.dvi

Size: px
Start display at page:

Download "scicos.dvi"

Transcription

1 SCICOS - Λ R. Nikoukhah S. Steer demo22 noise random generator sinusoid generator reference trajectory Num(s) Den(s) Plant Mux Num(z) Den(z) S/H Controller 1: Scicos 1 Introduction Scicos (Scilab Connected Object Simulator) Scilab Scicos ( ) Scicos Scicos ( 2 ) Scicos Λ Scicos Scilab Scicos Scilab-2.4 Scilab 1

2 signal remains constant outside of activation time continuous activation time discrete activation times (events) 2: Scicos ( ) Clock (MScope ) 2 Scicos Scicos ( ) Scicos GUI Scilab scicos(); Scicos Scicos ffl (Edit Palettes ) ffl Scicos Edit copy Scicos ffl Edit link ( ) ( ) Link ( ) Scicos write to file Scicos 2

3 2.1 Open/set Scilab Scilab Context Eval 2.2 Simulate Run ( ) Scicos stop Scicos *.cos Scicos scicosim 2.3 ffl ffl ffl ffl ffl ffl Scicos ffl GUI Help Scicos Help Help Scicos ( Super Blocks) Scicos Scicos Super Blocks Super Blocks 3

4 3 Scicos x z x u x _x = f (t; x; z; u; p; n e ) (1) f p n e i1;i2;:::;i n n e = nx 2 j 1 i : j=1 x z x(t e ) = g c (t e ;x(t );z(t );u(t e e e);p;n e ) (2) z(t e ) = g d (t e ;x(t );z(t );u(t e e e);p;n e ) (3) t e z z(t ) z e y(t) =h(t; x(t );z(t );u(t);p;n e ) (4) t e t evo = k(t e ;z(t e );u(t e );p;n e ) (5) t evo 3.2 ( ) Threshold 4

5 3.3 event select Branching If-then-else 4 Scicos Scicos 5 ( ) Super Block ffl ffl Scilab <SCIDIR>/macros/scicos blocks Scilab C Fortran <SCIDIR>/routines/scicos Scilab Scilab C Fortran Scifunc, GENERIC, C block, Fortran block job [x,y,typ]=block(job,arg1,arg2) 5

6 ffl job=='plot': arg1 arg2 x,y,typ standard draw ffl job=='getinputs': ( ) arg1 arg2 x x y y typ (1 2 ) standard input ffl job=='getoutputs': ( ) arg1 arg2. x x y y typ standard output ffl job=='getorigin': arg1 arg2. x x y y typ. standard origin ffl job=='set': arg1 arg2. x 6

7 y. typ. ffl job=='define': ( ) arg1, arg2. x y. typ Scicos Scilab list('block',graphics,model,unused,gui_function) GUI function graphics graphics=.. list([xo,yo],[l,h],orient,dlg,pin,pout,pcin,pcout,gr_i) ffl xo: x ffl yo: y ffl l: ffl h: ffl orient: ( ) ffl dlg: ffl pin: pin(i) i 0 ffl pout: pout(i) i 0 ffl pcin: pcin(i) i 0 ffl pcout: pcout(i) i 0 ffl gr i: Scilab 7

8 model model=list(eqns,#input,#output,#clk_input,#clk_output,.. state,dstate,rpar,ipar,typ,firing,deps,label,unused) ffl eqns: (fortran, C, Scilab ) ffl #input: ffl #output: ffl #clk input: 1 Scicos ffl #clk output: 1 Scicos ffl state: ffl dstate: ffl rpar: ffl ipar: ffl typ: 'z' 'l' 's' ffl firing: ( <0 ) ffl deps: [udep timedep] udep: TRUE timedep: TRUE. ffl label: ID Block label 5.2 8

9 5.2.1 ffl ( ) ffl ffl (4) ffl (2) (3) x z ffl _x (1) ffl (5) ffl ( ) ( 1 ) : Scicos 2 eqns ( ) 9

10 Scilab Fortran C 0 yes yes yes 1 no yes yes 2 no no yes 3 yes no no Scilab 2: 0 : : Fortran c subroutine myfun(flag,nevprt,t,xd,x,nx,z,nz,tvec, & ntvec,rpar,nrpar,ipar,nipar,u1,nu1,u2,nu2, & y1,ny1,y2,ny2,y3,ny3,y4,ny4) double precision t,xd(*),x(*),z(*),tvec(*),rpar(*) double precision u1(*),u2(*),y1(*),y2(*),y3(*),y4(*) integer flag,nevprt,nx,nz,ntvec,nrpar,ipar(*) integer nipar,nu1,nu2,ny1,ny2,ny3,ny4 3 C 1 C Scilab SCIDIR/routines/scicos Scicos fortran 0 1 : 2 C #include "<SCIDIR>/routines/machine.h" void selector(flag,nevprt,t,xd,x,nx,z,nz,tvec,ntvec, rpar,nrpar,ipar,nipar,inptr,insz,nin,outptr,outsz,nout) integer *flag,*nevprt,*nx,*nz,*ntvec,*nrpar; integer ipar[],*nipar,insz[],*nin,outsz[],*nout; 10

11 I/O I flag 0,1,2,3,4,5,6, ( 1 ) I nevprt I t O xdot I/O x I nx x I/O z I nz z O tvec (flag=3 ) I ntvec I rpar I nrpar rpar I ipar I nipar ipar I ui i ( ) i=1,2,... I nui i O yj j ( ) j=1,2,... I nyj j 3: 1 I:, O: double x[],xd[],z[],tvec[],rpar[]; double *inptr[],*outptr[],*t; 4 3 Scilab [y,x,z,tvec,xd]=test(flag,nevprt,t,x,z,rpar,ipar,u) 5 Scilab function [y,x,z,tvec,xd]=test(flag,nevprt,t,x,z,rpar,ipar,u) y=list();tvec=[];xd=[] if flag==4 then z=0 elseif flag==2 then z=z+1 write(%io(2),'number of calls:'+string(z)) [u1,u2]=u(1:2) 11

12 I/O I *flag 0,1,2,3,4,5,6, ( 1 ) I *nevprt I *t O xd (flag= 0) I/O x I *nx x I/O z I *nz z O tvec (flag=3) I *ntvec I rpar I *nrpar rpar I ipar I *nipar ipar I inptr inptr[i] i I insz insz[i] i I *nin I outptr outptr[j] j I outsz outsz[j] j I *nout 4: 2 I:, O: end write(%io(2),'first input');disp(u1) write(%io(2),'second input');disp(u2) function [y,x,z,tvec,xd]=elemprod(flag,nevprt,t,x,z,rpar,ipar,u) tvec=[];xd=[] y=u(1) for i=2:length(u) y=y.*u(i) end y=list(y) 6 Scicos Scicos (Scicos Scilab ) Scilab 12

13 I/O I flag 0,1,2,3,4,5,6 ( 1 ) I nevprt ( ) I t ( ) I x ( ) I z ( ) I rpar (scilabtt ) I ipar ( ) I u u(i) i ( ) O y y(j) j ( ) O x flag=2, 4, 5, 6 x O z flag=2, 4, 5, 6 z O xd flag= 0( ) x [] O tvec flag=3 ( ) [] 5: 3 I:, O: Scicos Scicos 13

14 1 Introduction 1 2 Scicos : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : :

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

コンピュータ概論

コンピュータ概論 4.1 For Check Point 1. For 2. 4.1.1 For (For) For = To Step (Next) 4.1.1 Next 4.1.1 4.1.2 1 i 10 For Next Cells(i,1) Cells(1, 1) Cells(2, 1) Cells(10, 1) 4.1.2 50 1. 2 1 10 3. 0 360 10 sin() 4.1.2 For

More information

. (.8.). t + t m ü(t + t) + c u(t + t) + k u(t + t) = f(t + t) () m ü f. () c u k u t + t u Taylor t 3 u(t + t) = u(t) + t! u(t) + ( t)! = u(t) + t u(

. (.8.). t + t m ü(t + t) + c u(t + t) + k u(t + t) = f(t + t) () m ü f. () c u k u t + t u Taylor t 3 u(t + t) = u(t) + t! u(t) + ( t)! = u(t) + t u( 3 8. (.8.)............................................................................................3.............................................4 Nermark β..........................................

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

橡00international.PDF

橡00international.PDF NATIONALITY 2001 01 23 1/22 - -11 NATIONALITY W p11-17 1 18-19 20 21 22 24-33 2/22 INTRODUCTION NATIONALITY NATIONALITY NATIONALITY NATIONALITY NATIONALITY J NATIONALITY NATIONALITY 3/22 NATIONALITY (1994)

More information

main.dvi

main.dvi MATLAB DCS MATLAB/Simulink MATLAB/Simulink MATLAB Simulink MATLAB/Simulink DCS 1 MATLAB Simulink 2 MATLAB Simulink 3 MAT- LAB/Simulink 4 MATLAB/Simulink ii PID 5 2 43 MATLAB 6 MATLAB 7 MATLAB 8 DCS MATLAB

More information

重力方向に基づくコントローラの向き決定方法

重力方向に基づくコントローラの向き決定方法 ( ) 2/Sep 09 1 ( ) ( ) 3 2 X w, Y w, Z w +X w = +Y w = +Z w = 1 X c, Y c, Z c X c, Y c, Z c X w, Y w, Z w Y c Z c X c 1: X c, Y c, Z c Kentaro Yamaguchi@bandainamcogames.co.jp 1 M M v 0, v 1, v 2 v 0 v

More information

DV-RA1000HD_Jpn(b)

DV-RA1000HD_Jpn(b) » D00927901A DV-RA1000HD High Definition Audio Master Recorder á á á è ì í ì ì í í ó è í è í í 2 TASCAM DV-RA1000HD ì ó ó ó ó á í ó ì ì í í ì ó í TASCAM DV-RA1000HD 3 4 TASCAM DV-RA1000HD TASCAM DV-RA1000HD

More information

My関数の作成演習問題集

My関数の作成演習問題集 Excel Sum,Average,Max 330 BMI Excel My Excel VBA Visual BASIC Editor AltF11 Visual BASIC Editor My Function Function -1- Function ( As Single, As Single) As Double Function Funciton Funciton As Single

More information

(Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1

(Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1 (Basic Theory of Information Processing) Fortran Fortan Fortan Fortan 1 17 Fortran Formular Tranlator Lapack Fortran FORTRAN, FORTRAN66, FORTRAN77, FORTRAN90, FORTRAN95 17.1 A Z ( ) 0 9, _, =, +, -, *,

More information

MPC.dvi

MPC.dvi 1997 1 Copyright c 1997 by Manabu Kano All rights reserved 1 1 3 11 3 12 3 121 3 122 5 13 6 14 6 15 7 2 9 21 9 22 10 221 10 222 11 23 12 24 13 25 14 26 15 27 17 2 1 11 (MPC; Model Predictive Control) 12

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) double

More information

2 2 ( M2) ( )

2 2 ( M2) ( ) 2 2 ( M2) ( ) 2007 3 3 1 2 P. Gaudry and R. Harley, 2000 Schoof 63bit 2 8 P. Gaudry and É. Schost, 2004 80bit 1 / 2 16 2 10 2 p: F p 2 C : Y 2 =F (X), F F p [X] : monic, deg F = 5, J C (F p ) F F p p Frobenius

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) { double

More information

11042 計算機言語7回目 サポートページ:

11042 計算機言語7回目  サポートページ: 11042 7 :https://goo.gl/678wgm November 27, 2017 10/2 1(print, ) 10/16 2(2, ) 10/23 (3 ) 10/31( ),11/6 (4 ) 11/13,, 1 (5 6 ) 11/20,, 2 (5 6 ) 11/27 (7 12/4 (9 ) 12/11 1 (10 ) 12/18 2 (10 ) 12/25 3 (11

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> 単純適応制御 SAC サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/091961 このサンプルページの内容は, 初版 1 刷発行当時のものです. 1 2 3 4 5 9 10 12 14 15 A B F 6 8 11 13 E 7 C D URL http://www.morikita.co.jp/support

More information

2.2 Sage I 11 factor Sage Sage exit quit 1 sage : exit 2 Exiting Sage ( CPU time 0m0.06s, Wall time 2m8.71 s). 2.2 Sage Python Sage 1. Sage.sage 2. sa

2.2 Sage I 11 factor Sage Sage exit quit 1 sage : exit 2 Exiting Sage ( CPU time 0m0.06s, Wall time 2m8.71 s). 2.2 Sage Python Sage 1. Sage.sage 2. sa I 2017 11 1 SageMath SageMath( Sage ) Sage Python Sage Python Sage Maxima Maxima Sage Sage Sage Linux, Mac, Windows *1 2 Sage Sage 4 1. ( sage CUI) 2. Sage ( sage.sage ) 3. Sage ( notebook() ) 4. Sage

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

Canon Industrial Imaging Platform Vision Edition 使用説明書

Canon Industrial Imaging Platform Vision Edition 使用説明書 Ver. 1.1 Canon Industrial Imaging Platform Vision Edition 2 Canon Industrial Imaging Platform Vision Edition * PC PC Power over Ethernet * A 180 ( ) - - - - - - - ( ) - - - - - AF AF 3 ( ) A PC PoE PLC

More information

h23w1.dvi

h23w1.dvi 24 I 24 2 8 10:00 12:30 1),. Do not open this problem booklet until the start of the examination is announced. 2) 3.. Answer the following 3 problems. Use the designated answer sheet for each problem.

More information

XpressMemoForDash.dvi

XpressMemoForDash.dvi Xpress-MP 1 Ver 1.1 2006. 2. 16 (Ver1.0) 2006. 3. 1 (Ver1.1) 1 2006 3 Xpress-MP Xpress-MP 2006 Xpress-MP,. Dash [1]. mosel,, mosel.,,?, shokosv Xpress-MP,., 2. Example. 1 Xpress-MP 2 mosel 3 mosel 4, shakosv

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

!9 MIDI IN TO HOST TG Ins.Effect Sys.Effect EQ OUTPUT INPUT OUTPUT TO TG A/D Ins.Effect DigiOutGain DIGITA OUTPUT DIGITA IN USB (Audio) A/D INPUT UW50

!9 MIDI IN TO HOST TG Ins.Effect Sys.Effect EQ OUTPUT INPUT OUTPUT TO TG A/D Ins.Effect DigiOutGain DIGITA OUTPUT DIGITA IN USB (Audio) A/D INPUT UW50 !9 MIDI IN TO HOST TG Ins.Effect Sys.Effect EQ OUTPUT INPUT OUTPUT TO TG A/D Ins.Effect DigiOutGain DIGITA OUTPUT DIGITA IN USB (Audio) A/D INPUT UW500 MIDI IN TO HOST TG Ins.Effect Sys.Effect EQ OUTPUT

More information

23 200802957 1 1 5 2 6 2.1 Boid........................................ 6 2.1.1 Separation........................ 7 2.1.2 Alingment........................... 7 2.1.3 Cohesion............................

More information

102

102 5 102 5 103 q w 104 e r t y 5 u 105 q w e r t y u i 106 o!0 io!1 io q w e r t y 5 u 107 i o 108 q w e q w e r 5 109 q w 110 e r t 5 y 111 q w e r t y u 112 i q w e r 5 113 q w e 114 r t 5 115 q w e 116

More information

Local variable x y i paint public class Sample extends Applet { public void paint( Graphics gc ) { int x, y;... int i=10 ; while ( i < 100 ) {... i +=

Local variable x y i paint public class Sample extends Applet { public void paint( Graphics gc ) { int x, y;... int i=10 ; while ( i < 100 ) {... i += Safari AppletViewer Web HTML Netscape Web Web 13-1 Applet Web Applet init Web paint Web start Web HTML stop destroy update init Web paint start Web update Event Driven paint Signature Overwriting Overriding

More information

TOPLON PRIO操作手順

TOPLON PRIO操作手順 TOPLON PRIO 2004/05/24 I/O LON WAGO TOPLON PRIO 1. 1) PCC-10 S/W 2) PC 3) PCC-10 4) Windows Lon WorksR Plug n Play Apply OK 5) Visio LonMaker LonPoint 6) TOPLON PRIO 2. IO-PRO SYM TOPLON-PRIO SNVT NVI

More information

20169 3 4 5003 n=3,000 61.8% 38.2% n=3,000 20 7.3% 30 21.3% 40 34.8% 50 36.6% n=3,000 3.0% 2.0% 1.5% 12.1% 14.0% 41.4% 25.9% n=3,000 37.7% % 24.8% 28.8% 1.9% 3.1% 0.2% n=3,000 500 64.0% 500 1,000 31.3%

More information

1 u t = au (finite difference) u t = au Von Neumann

1 u t = au (finite difference) u t = au Von Neumann 1 u t = au 3 1.1 (finite difference)............................. 3 1.2 u t = au.................................. 3 1.3 Von Neumann............... 5 1.4 Von Neumann............... 6 1.5............................

More information

Arduino UNO IS Report No. Report Medical Information System Laboratory

Arduino UNO IS Report No. Report Medical Information System Laboratory Arduino UNO 2015 2 25 IS Report No. Report Medical Information System Laboratory Abstract ( ) Arduino / Arduino Bluetooth Bluetooth : Arduino Arduino UNO Arduino IDE micro computer LED 1............................

More information

XJTAG

XJTAG LDRA/ T-VEC/ MetaEdit+ Domain Specific Modeling Ashling/Jtag ARC SmartCards LAUTERBACH /Jtag ARM PowerPC K MIPS XJTAG HW Domain-Specific Modeling Domain-Specific Modeling Software Technology 30 Copyright

More information

OHP.dvi

OHP.dvi 0 7 4 0000 5.. 3. 4. 5. 0 0 00 Gauss PC 0 Gauss 3 Gauss Gauss 3 4 4 4 4 3 4 4 4 4 3 4 4 4 4 3 4 4 4 4 u [] u [3] u [4] u [4] P 0 = P 0 (),3,4 (,), (3,), (4,) 0,,,3,4 3 3 3 3 4 4 4 4 0 3 6 6 0 6 3 6 0 6

More information

csv csv

csv csv 2009 1 9 2 1. 1 2. 2 2.1......................................... 2 2.2 csv.................................... 3 2.3 csv.................................. 3 3. 4 3.1.........................................

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> デザイン言語 Processing 入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/084931 このサンプルページの内容は, 初版 1 刷発行当時のものです. Processing Ben Fry Casey Reas Windows Mac Linux Lesson 1 Processing

More information

01_教職員.indd

01_教職員.indd T. A. H. A. K. A. R. I. K. O. S. O. Y. O. M. K. Y. K. G. K. R. S. A. S. M. S. R. S. M. S. I. S. T. S. K.T. R. T. R. T. S. T. S. T. A. T. A. D. T. N. N. N. Y. N. S. N. S. H. R. H. W. H. T. H. K. M. K. M.

More information

all.dvi

all.dvi fortran 1996 4 18 2007 6 11 2012 11 12 1 3 1.1..................................... 3 1.2.............................. 3 2 fortran I 5 2.1 write................................ 5 2.2.................................

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

untitled

untitled Fortran90 ( ) 17 12 29 1 Fortran90 Fortran90 FORTRAN77 Fortran90 1 Fortran90 module 1.1 Windows Windows UNIX Cygwin (http://www.cygwin.com) C\: Install Cygwin f77 emacs latex ps2eps dvips Fortran90 Intel

More information

<リスト1> AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fb

<リスト1> AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fb AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fbh out dx al // シリアル ポートにデータ出力 mov al,03h // CS -> 0,

More information

プリント

プリント 1 2 3 4 End 1 2 End End 5 6 NEW PIN NEW PIN 1 1 PIN CONF 2 PIN 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53

More information

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co 072 DB Magazine 2007 September ~~~~~~~~~~~~~~~~~~ wait Call CPU time 1,055 34.7 latch: library cache 7,278 750 103 24.7 latch: library cache lock 4,194 465 111 15.3 job scheduler coordinator slave wait

More information

2009 1. 2. 3. 4. 5. 2 2009 CONTENTS 4 6 8 TOPIC 01 10 TOPIC 02 11 TOPIC 03 12 TOPIC 04 14 TOPIC 05 15 TOPIC 06 15 TOPIC 07 16 18 18 19 20 21 22 22 22 23 24 25 26 27 27 27 28 29 30 TOPIC 08 16 TOPIC 09

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

2 H23 BioS (i) data d1; input group patno t sex censor; cards;

2 H23 BioS (i) data d1; input group patno t sex censor; cards; H BioS (i) data d1; input group patno t sex censor; cards; 0 1 0 0 0 0 1 0 1 1 0 4 4 0 1 0 5 5 1 1 0 6 5 1 1 0 7 10 1 0 0 8 15 0 1 0 9 15 0 1 0 10 4 1 0 0 11 4 1 0 1 1 5 1 0 1 1 7 0 1 1 14 8 1 0 1 15 8

More information

橡挿入法の実践

橡挿入法の実践 PAGE:1 7JFC1121 PAGE:2 7JFC1121 PAGE:3 7JFC1121 Kadai_1.pas program input_file;{7jfc1121 19 20 { type item = record id : integer; math : integer; english : integer; var wfile data flag id_no filename :

More information

VGB Kinect v2 VGB VGB Kinect v2 3 VGB VGB Discrete Continuous 2 Discrete : Jump, Sit, NicoNii AdaBoost (Confidence) Visual Studio 2013 or 2012 () Kine

VGB Kinect v2 VGB VGB Kinect v2 3 VGB VGB Discrete Continuous 2 Discrete : Jump, Sit, NicoNii AdaBoost (Confidence) Visual Studio 2013 or 2012 () Kine Kinect v2 Visual Gesture Builder Buu C87 2014.12.30 1 Kinect for Windows Leap Motion RealSense RGB 1 Kinect for Windows [1] 2010 Kinect for Xbox 360 2012 [2] [3] Kinect DanceEvolution ARCADE 2014 Kinect

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ようこそ COBOL へ! 2018/08/17 伊東 輝 COBOL とは? 1959 年に事務処理用に開発された手続き型言語であり ソースコードの記述内容を上から順番に実行する言語である 約 60 年前から存在する言語でありながら 未だに基本情報処理技術者の午後試験に出題され 金融系システム等のレガシーシステムでは現在も COBOL のプログラムが稼働している 今回は COBOL のコーディングの基礎を発表する

More information

211 kotaro@math.titech.ac.jp 1 R *1 n n R n *2 R n = {(x 1,..., x n ) x 1,..., x n R}. R R 2 R 3 R n R n R n D D R n *3 ) (x 1,..., x n ) f(x 1,..., x n ) f D *4 n 2 n = 1 ( ) 1 f D R n f : D R 1.1. (x,

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A, B Z Z m, n Z m n m, n A m, n B m=n (1) A, B (2) A B = A B = Z/ π : Z Z/ (3) A B Z/ (4) Z/ A, B (5) f : Z Z f(n) = n f = g π g : Z/ Z A, B (6)

More information

untitled

untitled Visual Basic.NET 1 ... P.3 Visual Studio.NET... P.4 2-1 Visual Studio.NET... P.4 2-2... P.5 2-3... P.6 2-4 VS.NET(VB.NET)... P.9 2-5.NET... P.9 2-6 MSDN... P.11 Visual Basic.NET... P.12 3-1 Visual Basic.NET...

More information

90 0 4

90 0 4 90 0 4 6 4 GR 4 7 0 5 8 6 9 0 4 7 00 0 5 8 0 6 9 4 7 0 5 8 6 9 0 4 7 00 0 5 8 0 6 9 4 7 0 5 8 6 9 0 4 7 00 0 5 8 0 6 9 0 0 4 5 6 7 0 4 6 4 5 7 5 6 7 4 5 6 4 5 6 7 4 5 7 4 5 6 7 8 9 0 4 5 6 7 5 4 4

More information

プラズマ核融合学会誌5月号【81-5】/内外情報_ソフト【注:欧フォント特殊!】

プラズマ核融合学会誌5月号【81-5】/内外情報_ソフト【注:欧フォント特殊!】 PROGRAM PLOTDATA USE NUM_KINDS, ONLY : wp=>dp, i4b USE MYLIB, ONLY : GET_SIZE, GET_DATA INTEGER(i4b) :: ntime, nx REAL(wp), ALLOCATABLE :: time(:), x(:), Temp(:,:) Fortran Temp, temp, TEMP temporal REAL(wp)

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

A B 1: Ex. MPICH-G2 C.f. NXProxy [Tanaka] 2:

A B 1: Ex. MPICH-G2 C.f. NXProxy [Tanaka] 2: Java Jojo ( ) ( ) A B 1: Ex. MPICH-G2 C.f. NXProxy [Tanaka] 2: Java Jojo Jojo (1) :Globus GRAM ssh rsh GRAM ssh GRAM A rsh B Jojo (2) ( ) Jojo Java VM JavaRMI (Sun) Horb(ETL) ( ) JPVM,mpiJava etc. Send,

More information

Microsoft Word - scilab_intro.doc

Microsoft Word - scilab_intro.doc Scilab の使い方 (1/14) Scilab は "SCIence LABoratory" の略 フランスの国立研究機関 INRIA (Institut National de Recherche en Informatique et Automatique) が作成 配布しているフリーのシミュレーション ソフト Scilab のホームページは http://www-rocq.inria.fr/scilab/

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A B f : A B 4 (i) f (ii) f (iii) C 2 g, h: C A f g = f h g = h (iv) C 2 g, h: B C g f = h f g = h 4 (1) (i) (iii) (2) (iii) (i) (3) (ii) (iv) (4)

More information

1 : f(z = re iθ ) = u(r, θ) + iv(r, θ). (re iθ ) 2 = r 2 e 2iθ = r 2 cos 2θ + ir 2 sin 2θ r f(z = x + iy) = u(x, y) + iv(x, y). (x + iy) 2 = x 2 y 2 +

1 : f(z = re iθ ) = u(r, θ) + iv(r, θ). (re iθ ) 2 = r 2 e 2iθ = r 2 cos 2θ + ir 2 sin 2θ r f(z = x + iy) = u(x, y) + iv(x, y). (x + iy) 2 = x 2 y 2 + 1.3 1.4. (pp.14-27) 1 1 : f(z = re iθ ) = u(r, θ) + iv(r, θ). (re iθ ) 2 = r 2 e 2iθ = r 2 cos 2θ + ir 2 sin 2θ r f(z = x + iy) = u(x, y) + iv(x, y). (x + iy) 2 = x 2 y 2 + i2xy x = 1 y (1 + iy) 2 = 1

More information

(2-1) x, m, 2 N(m, 2 ) x REAL*8 FUNCTION NRMDST (X, M, V) X,M,V REAL*8 x, m, 2 X X N(0,1) f(x) standard-norm.txt normdist1.f x=0, 0.31, 0.5

(2-1) x, m, 2 N(m, 2 ) x REAL*8 FUNCTION NRMDST (X, M, V) X,M,V REAL*8 x, m, 2 X X N(0,1) f(x) standard-norm.txt normdist1.f x=0, 0.31, 0.5 2007/5/14 II II agata@k.u-tokyo.a.jp 0. 1. x i x i 1 x i x i x i x x+dx f(x)dx f(x) f(x) + 0 f ( x) dx = 1 (Probability Density Funtion 2 ) (normal distribution) 3 1 2 2 ( x m) / 2σ f ( x) = e 2πσ x m

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> MATLAB/Simulink による現代制御入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/9241 このサンプルページの内容は, 初版 1 刷発行当時のものです. i MATLAB/Simulink MATLAB/Simulink 1. 1 2. 3. MATLAB/Simulink

More information

BASICとVisual Basic

BASICとVisual Basic Visual Basic BASIC Visual Basic BASICBeginner's All purpose Symbolic Instruction Code Visual Basic Windows BASIC BASIC Visual Basic Visual Basic End Sub .Visual Basic Visual Basic VB 1-1.Visual Basic

More information

compiler-text.dvi

compiler-text.dvi 2018.4 1 2 2.1 1 1 1 1: 1. (source program) 2. (object code) 3. 1 2.2 C if while return C input() output() fun var ( ) main() C (C-Prime) C A B C 2.3 Pascal P 1 C LDC load constant LOD load STR store AOP

More information

User Manual, Anybus Communicator for DeviceNet

User Manual, Anybus Communicator for DeviceNet User Manual Anybus Communicator for DeviceNet Rev. 2.52 HMS Industrial Networks AB Germany Japan Sweden U.S.A. France Italy China + 49-721 - 96472-0 + 81-45 - 478-5340 + 46-35 - 17 29 20 + 1-312 - 829-0601

More information

.o...EPDF.p.indd

.o...EPDF.p.indd Social and Environmental report 28 1 2 3 5 7 9 11 12 17 18 19 22 24 25 26 27 28 29 3 2 3 4 5 6 7 4 1 2 5 3 6 8 9 1 1 2 3 4 11 12 1 2 3 4 13 14 1 2 3 4 5 6 7 8 15 16 17 1 2 3 18 19 1 2 3 4 2 21 1 2 3 4

More information

このcsvファイルを GraphR で 表 示 する あるいはエクセルで 読 み 込 んで 処 理 できる BMP 形 式 のファイルは Windows のソフトで 表 示 できる Mercury CCD では1ピクセルが2バイトで 記 述 されているが BMP でコンパクトに 表 すため 1 ピク

このcsvファイルを GraphR で 表 示 する あるいはエクセルで 読 み 込 んで 処 理 できる BMP 形 式 のファイルは Windows のソフトで 表 示 できる Mercury CCD では1ピクセルが2バイトで 記 述 されているが BMP でコンパクトに 表 すため 1 ピク Mercuryの img ファイルの 画 像 データを ASCI 変 換 し excel や GraphR にて 表 示 する 実 行 例 (アンダーライン 部 が 入 力 ) C:\Documents and Settings\My Documents >img-bmp_csv.exe > Input file

More information

08 p Boltzmann I P ( ) principle of equal probability P ( ) g ( )g ( 0 ) (4 89) (4 88) eq II 0 g ( 0 ) 0 eq Taylor eq (4 90) g P ( ) g ( ) g ( 0

08 p Boltzmann I P ( ) principle of equal probability P ( ) g ( )g ( 0 ) (4 89) (4 88) eq II 0 g ( 0 ) 0 eq Taylor eq (4 90) g P ( ) g ( ) g ( 0 08 p. 8 4 k B log g() S() k B : Boltzmann T T S k B g g heat bath, thermal reservoir... 4. I II II System I System II II I I 0 + 0 const. (4 85) g( 0 ) g ( )g ( ) g ( )g ( 0 ) (4 86) g ( )g ( 0 ) 0 (4

More information

1 4 2 EP) (EP) (EP)

1 4 2 EP) (EP) (EP) 2003 2004 2 27 1 1 4 2 EP) 5 3 6 3.1.............................. 6 3.2.............................. 6 3.3 (EP)............... 7 4 8 4.1 (EP).................... 8 4.1.1.................... 18 5 (EP)

More information

, MATLAB LMI MATLAB Mathematica Maple Mathematica Control System Professional 2 LMI MATLAB Mathematica Maple MATLAB SCILAB SCILAB MATLAB

, MATLAB LMI MATLAB Mathematica Maple Mathematica Control System Professional 2 LMI MATLAB Mathematica Maple MATLAB SCILAB SCILAB MATLAB J.JSSAC (2005) Vol. 11, No. 3,4, pp. 99-117 Noda2005 SCILAB 1 Matlab is one of the most popular commercial software among the researchers in the area of control and system engineering. Although Matlab

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

main.dvi

main.dvi 1 F77 5 hmogi-2008f@kiban.civil.saitama-u.ac.jp 2013/5/13 1 2 f77... f77.exe f77.exe CDROM (CDROM D D: setupond E E: setupone 5 C:work\T66160\20130422>f77 menseki.f -o menseki f77(.exe) f77 f77(.exe) C:work\T66160\20130422>set

More information

¥Ñ¥Ã¥±¡¼¥¸ Rhpc ¤Î¾õ¶·

¥Ñ¥Ã¥±¡¼¥¸ Rhpc ¤Î¾õ¶· Rhpc COM-ONE 2015 R 27 12 5 1 / 29 1 2 Rhpc 3 forign MPI 4 Windows 5 2 / 29 1 2 Rhpc 3 forign MPI 4 Windows 5 3 / 29 Rhpc, R HPC Rhpc, ( ), snow..., Rhpc worker call Rhpc lapply 4 / 29 1 2 Rhpc 3 forign

More information

laplace.dvi

laplace.dvi Λ 2.1 2004.2.20 1 Λ 1 2 Ay = u 2 2 A 2 u " # a 11 a 12 A = ; u = a 21 a 22 " # u 1 u 2 y Ay = u (1) A (1) y = A 1 u y A 2 x i i i =1; 2 Ax 1 = 1 x 1 ; Ax 2 = 2 x 2 (2) x 1 x 2 =0 (3) (3) (2) x 1 x 2 x

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC PBASIC 2.5 PBASIC 2.5 BASIC Stamp Editor / Development System Version 2.0 Beta Release 2 2.0 PBASIC BASIC StampR PBASIC PBASIC PBASIC 2.5 Parallax, Inc. PBASIC 2.5 PBASIC 2.5 support@microbot-ed.com 1

More information

Safari AppletViewer Web HTML Netscape Web Web 15-1 Applet Web Applet init Web paint Web start Web HTML stop destroy update init Web paint start Web up

Safari AppletViewer Web HTML Netscape Web Web 15-1 Applet Web Applet init Web paint Web start Web HTML stop destroy update init Web paint start Web up Safari AppletViewer Web HTML Netscape Web Web 15-1 Applet Web Applet init Web paint Web start Web HTML stop destroy update init Web paint start Web update Event Driven paint Signature Overwriting Overriding

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

(個別のテーマ) 薬剤に関連した医療事故

(個別のテーマ) 薬剤に関連した医療事故 - 67 - III - 68 - - 69 - III - 70 - - 71 - III - 72 - - 73 - III - 74 - - 75 - III - 76 - - 77 - III - 78 - - 79 - III - 80 - - 81 - III - 82 - - 83 - III - 84 - - 85 - - 86 - III - 87 - III - 88 - - 89

More information

(個別のテーマ) 放射線検査に関連した医療事故

(個別のテーマ) 放射線検査に関連した医療事故 - 131 - III - 132 - - 133 - III - 134 - - 135 - III - 136 - - 137 - III - 138 - - 139 - III - 140 - - 141 - III - 142 - - 143 - III - 144 - - 145 - III - 146 - - 147 - III - 148 - - 149 - III - 150 - -

More information

ip-leda-homepage.dvi

ip-leda-homepage.dvi LEDA:,,,, Kurt Mehlhorn LEDA LEDA 1 [2] LEDA Library for Efficient Data types and Algorithms LEDA LEDA C++ 1 LEDA LEDA Dijkstra( ) LEDA 2 : LEDA LEDA VORONOI() 1, LEDA voronoi demo.c LEDA 2 1: LEDA LEDA

More information

,, 2. Matlab Simulink 2018 PC Matlab Scilab 2

,, 2. Matlab Simulink 2018 PC Matlab Scilab 2 (2018 ) ( -1) TA Email : ohki@i.kyoto-u.ac.jp, ske.ta@bode.amp.i.kyoto-u.ac.jp : 411 : 10 308 1 1 2 2 2.1............................................ 2 2.2..................................................

More information

B 5 (2) VBA R / B 5 ( ) / 34

B 5 (2) VBA R / B 5 ( ) / 34 B 5 (2) VBAR / B 5 (2014 11 17 ) / 34 VBA VBA (Visual Basic for Applications) Visual Basic VBAVisual Basic Visual BasicC B 5 (2014 11 17 ) 1 / 34 VBA 2 Excel.xlsm 01 Sub test() 02 Dim tmp As Double 03

More information

Ver.1 1/17/2003 2

Ver.1 1/17/2003 2 Ver.1 1/17/2003 1 Ver.1 1/17/2003 2 Ver.1 1/17/2003 3 Ver.1 1/17/2003 4 Ver.1 1/17/2003 5 Ver.1 1/17/2003 6 Ver.1 1/17/2003 MALTAB M GUI figure >> guide GUI GUI OK 7 Ver.1 1/17/2003 8 Ver.1 1/17/2003 Callback

More information