卒 業 研 究 報 告

Size: px
Start display at page:

Download "卒 業 研 究 報 告"

Transcription

1 卒業研究報告 題 目 PIC プロセッサを用いた多機能ライントレース ロボットの設計と製作 指導教員 綿森道夫助教授 報告者 学籍番号 : 氏名 : 高橋壮平 平成 17 年 2 月 21 日 高知工科大学電子 光システム工学科

2 PIC - 1 -

3 - 2 -

4 PIC - 3 -

5 / PIC MPLAB PIC PIC AKI PIC AKI PIC - 4 -

6 AKI PIC - 5 -

7 LED LED - 6 -

8 - 7 - S3 + - BZ1 S2 S1 D12 LED1 D11 LED1 D10 LED1 D9 LED1 D8 LED1 D7 LED1 D6 LED1 D5 LED1 D4 LED1 D3 LED1 D2 LED1 D1 LED1 16F628 RA2 RA3 RA4 RA5 GND RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 Vcc RA6 RA7 RA0 RA1 U1 R3 1k R2 1k R1 1k

9 PIC A/D USART PIC W-REG STATUS-REG PCHL-REG 3 3 CALL RETURN CALL RETURN - 8 -

10 bit7 bit0 / TMR1ON - 9 -

11 =

12 ON VALUE ON? LED 10m

13 - 12 -

14 VALUE 0 LED

15 movlw b' ' movwf t1con ; movlw b' ' ; movwf intcon clrf tmr1l ; clrf tmr1h ; movlw b' ' movwf ccp1con ; ;**** **** IDLELP BCF PORTA,2 ; BTFSS PORTA,3 ; GOTO STRTKY ; CALL LEDCNT ;LED movlw h'30' ; movwf ccpr1h movlw h'd4' movwf ccpr1l bcf pir1,ccp1if ;ccp1if bsf t1con,tmr1on ; bsf intcon,7 ;

16 bit7 bit0-15 -

17 - 16 -

18 OPTION PIC CPU CPU 4 CPU 4 0 INTCON INTCON bit7 bit0-17 -

19 0-18 -

20 LED ON OFF PIC * m *

21 BSF STATUS,RP0 MOVLW 000H MOVWF TRISB BCF STATUS,RP0 BCF PORTA,3 ;RA3 = 1 (7seg 10th min) movf c10m,w ;Read 10th of min count movwf bcd_in ;Set count call bcd_7seg ;LED control BSF PORTA,3 bcf PORTA,2 ;RA2 = 1 (7seg 1st min) movf c01m,w ;Read 1st of min count movwf bcd_in ;Set count call bcd_7seg ;LED control BSF PORTA,2 BCF PORTA,1 ;RA1 = 1 (7seg 10th sec) movf c10s,w ;Read 10th of sec count movwf bcd_in ;Set count call bcd_7seg ;LED control BSF PORTA,1 bcf porta,0 ;RA0 = 1 (7seg 1st sec) movf c01s,w ;Read 1st of sec count movwf bcd_in ;Set count call bcd_7seg ;LED control BSF PORTA,0-20 -

22 main sw +3V stop sw start sw 2SC1815 2SC BZ1 2SA1015 2SA1015 2SA1015 2SA1015 abcdefg. V+ abcdefg. V+ abcdefg. V+ abcdefg. V+ 16F628 RA2 RA3 RA4 RA5 GND RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 Vcc RA6 RA7 RA0 RA1 U1 BCD-SW BCD-SW k 10k 240k 240k R5 1k 4.3k 4.3k 3.9k 4.3k

23 - 22 -

24

25 YES NO YES NO ON NO NO YES YES

26 ON 10 LED LED 1 BCD

27 movf c10s,w ;Read 10th of sec counter btfss status,z ;10th of sec is 0? goto countdown ;Jump to countdown movf MIN01,w ;Read 1st of min counter btfss status,z ;1st of min is 0? goto countdown ;Jump to countdown movf MIN10,w ;Read 10th of min counter btfsc status,z ;10th of min is 0? goto piii

28 1 NO 1 YES 1 NO NO YES YES NO 1 YES NO 1 YES YES NO 1 10 NO RETFIE YES ON

29 - 28 -

30 PIC PWM

31 Vcc Q1 2SJ377 Q2 2SJ377 M1 Q3 2SK2231 Q4 2SK2231 Q1 Q4 ON Q2 Q3 ON Q3 Q4 ON

32 Q1 Q3 Q2 Q4 ON OFF IC IC

33 bit7 bit0-32 -

34 - 33 -

35 / / / / /

36 High Low 150 RPR V 20k A 10k 40%

37 Xm sec : 3600 Π dcm : L Lkm / h = 3600 Π dcm / Xmsec = 36 Π d / X msec Xm sec = A 30msec / L = 120 Π d / A = 2035/ A

38 - 37 -

39 - 38-2SA1015 2SA k 40% 50k 40% 50k 40% 0.1uF S3 3.0V S1 0.1uF 0.1uF 0.1uF 0.1uF 4MHZ 33uF 0.1uF 0.1uF M1 M2 abcdefg. V+ abcdefg. V+ 74HC00 1in 1in 1out 2in 2in 2out GND 3out 3in 3in 4out 4in 4in Vcc RPR220 RPR220 RPR220 RPR220 RPR220 16F873 MCLR RA0 RA1 RA2 RA3 RA4 RA5 GND OSC1 OSC2 RC0 RC1 RC2 RC3 RC4 RC5 RC6 RC7 GND Vcc RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 MP4207 GND G1 D1 G2 D2 G3 D3 G4 D4 Vcc MP4207 GND G1 D1 G2 D2 G3 D3 G4 D4 Vcc 1in 1out 2in 2out 3in 3out GND 4out 4in 5out 5in 6out 6in Vcc 74HC k 10k 5k 5k 5k 5k 4.3k 4.3k 30k 30k

40 - 39 -

41 - 40 -

42 - 41 -

43 - 42 -

44 main btfss porta,0 ; goto w?? ; goto b?? ; w?? btfss porta,1 ; goto ww? ; goto wb? ; ww? btfss porta,2 ; goto back ; goto right ; wb? btfss porta,2 ; goto fowd ; goto right ; b?? btfss porta,1 ; goto bw? ; goto bb? ; bw? btfss porta,2 ; goto left ; goto right ; bb? btfss porta,2 ; goto fowd ; goto right ;

45 left bcf portc,7 ; bsf portc,6 ; movf ccpr1l,w ; addlw d'5' ; btfsc status,c ; movlw h'ff' ; movwf ccpr1l mtr2dwn bcf portc,5 ; bsf portc,4 ; movf ccpr1l,w ; movlw d'5' ; subwf ccpr2l,w btfss status,c ; movlw h'50' ; movwf ccpr2l goto mati1-44 -

46 High Low

47 movf disp1, w ; call warizan ; movf kekka, w movwf temp movf disp2, w ; call warizan bcf status, c rrf temp, f ; / bcf status, c rrf kekka, f ; / movf temp, w addwf kekka, f ; call henkan ; movf temp, w ; keta1 andlw h'0f' movwf keta1 swapf temp, w ; keta10 andlw h'0f' movwf keta10 /

48 /

49 PIC PIC PIC CQ PIC

50 - 49 -

51 ;***************************************************** ; ; ; ;****************************************************** LIST P=PIC16F628 ; INCLUDE "P16F628.INC" ;Standard Include File ;Defined System Variables ;********************************* ; ;********************************* CNT1 EQU 020H ;Counter for Timer CNT2 EQU 021H ;Counter for Timer VALUE EQU 023H ;Randomized Data LEDA EQU 024H ;LED position porta LEDB EQU 025H ;LED position portb DIR EQU 030H ; CHK EQU 031H ; WREG EQU 032H STsave EQU 033H wsave EQU 034H ;*********************** ; ;*********************** ORG 0 ;Reset Start GOTO MAIN ORG 4 GOTO WARI MAIN ;***** Initialize ***** 50

52 bcf status,rp0 ;bank 0 MOVLW 007H MOVWF CMCON BSF STATUS,RP0 ; CLRF TRISB ;PORT B MOVLW 018H ;PORT A MOVWF TRISA ;RA3,4 BSF PCON,3 ; (4.0MHz) movlw movwf b' ' pie1 BCF STATUS,RP0 ; MOVLW 02H ; MOVWF LEDA ; CLRF LEDB ; CLRF DIR ; CLRF CHK ; movlw h'07' movwf cmcon ; movlw b' ' movwf t1con ; movlw b' ' ; movwf intcon clrf tmr1l ; clrf tmr1h ; movlw b' ' movwf ccp1con ; ;**** **** IDLELP BCF PORTA,2 ; BTFSS PORTA,3 ; 51

53 GOTO STRTKY ; CALL LEDCNT ;LED movlw h'30' ; movwf ccpr1h movlw h'd4' movwf ccpr1l bcf pir1,ccp1if ;ccp1if bsf t1con,tmr1on ; bsf intcon,7 ; JUMP BTFSS CHK,2 GOTO JUMP BTFSS CHK,1 GOTO JUMP CLRF CHK BCF INTCON,7 INCF VALUE,F ; GOTO IDLELP ; ;**** ***** STRTKY movlw h'04' movwf ccpr1h movlw h'e2' movwf ccpr1l bcf pir1,ccp1if bsf t1con,tmr1on bsf intcon,7 ;interrupt on JUMP BTFSS CHK,0 GOTO JUMP2 CLRF CHK BCF INTCON,7 BTFSC PORTA,3 ; GOTO IDLELP ; 52

54 ;**** ***** GAMELP BSF PORTA,2 ; ON CALL LEDCNT ;LED INCF VALUE,F ; BTFSS PORTA,4 ; ON GOTO STPKY ; movlw h'04' movwf ccpr1h movlw h'e2' movwf ccpr1l bcf pir1,ccp1if bsf t1con,tmr1on bsf intcon,7 ;interrupt on JUMP3 BTFSS CHK,0 GOTO JUMP3 CLRF CHK BCF INTCON,7 GOTO GAMELP ; ;**** **** STPKY BCF PORTA,2 ; OFF CNTDWN MOVF VALUE,W ; SUBLW 020H ;VALUE 32 BTFSS STATUS,C ;32-W>0? GOTO FIRST ;32 MOVF VALUE,W ; SUBLW 010H ;VALUE 16 BTFSS STATUS,C ;16-W>0? GOTO MIDDLE ;32 GOTO SLOW ;16 ;**** ***** 53

55 SLOW CALL LEDCNT ;LED BSF PORTA,2 ; ON movlw h'30' movwf ccpr1h movlw h'd4' movwf ccpr1l bcf pir1,ccp1if bsf t1con,tmr1on bsf intcon,7 ;interrupt on JUMP4 BTFSS CHK,1 GOTO JUMP4 CLRF CHK BCF INTCON,7 BCF PORTA,2 ; OFF movlw h'30' movwf ccpr1h movlw h'd4' movwf ccpr1l bcf pir1,ccp1if bsf t1con,tmr1on bsf intcon,7 ;interrupt on JUMP5 BTFSS CHK,2 GOTO JUMP5 CLRF CHK BCF INTCON,7 DECFSZ VALUE,F ;VALUE-1 GOTO CNTDWN ; ;**** **** BCF PORTA,2 ; STPLP BTFSS PORTA,3 ; 54

56 GOTO STRTKY ; BTFSC PORTA,4 ; GOTO STPLP ; COMF DIR,f ; BTFSS DIR,0 CALL RIGHT BTFSC DIR,0 CALL LEFT GOTO IDLELP ; ;***** **** MIDDLE CALL LEDCNT ;LED BSF PORTA,2 ; ON movlw h'30' movwf ccpr1h movlw h'd4' movwf ccpr1l bcf pir1,ccp1if bsf t1con,tmr1on bsf intcon,7 ;interrupt on JUMP6 BTFSS CHK,1 GOTO JUMP6 CLRF CHK BCF INTCON,7 BCF PORTA,2 ; movlw h'30' movwf ccpr1h movlw h'd4' movwf ccpr1l bcf pir1,ccp1if bsf t1con,tmr1on bsf intcon,7 ;interrupt on 55

57 JUMP7 BTFSS CHK,1 GOTO JUMP7 CLRF CHK BCF INTCON,7 DECF VALUE,F ;VALUE-1 GOTO CNTDWN ; ;**** FIRST CALL LEDCNT ;LED BSF PORTA,2 ; ON movlw h'04' movwf ccpr1h movlw h'e2' movwf ccpr1l bcf pir1,ccp1if bsf t1con,tmr1on bsf intcon,7 ;interrupt on JUMP8 BTFSS CHK,1 GOTO JUMP8 CLRF CHK BCF INTCON,7 DECF VALUE,F ;VALUE-1 GOTO CNTDWN ;*************************** ; ;*************************** ; ;****************************************** ; ; ;****************************************** LEDCNT 56

58 ;**** LED ***** COMF LEDA,W BTFSC PORTA,2 ; GOTO TESTSET ANDLW 0FBH ; OFF GOTO NEXT TESTSET IORLW 04H ; OFF NEXT MOVWF PORTA COMF LEDB,W ;0,1 MOVWF PORTB ; B ;**** LED **** BTFSC DIR,0 ; GOTO LEFT ; RIGHT RRF LEDA,F ; A RRF LEDB,F ; B BTFSS STATUS,C ; GOTO CLR2 ; BSF LEDA,7 ; goto next1 CLR2 BCF LEDA,7 ; next1 btfss leda,5 goto clr2a bsf leda,1 goto next2 clr2a bcf leda,1 next2 RETURN LEFT RLF LEDB,F ; B RLF LEDA,F ; A btfss status,c goto next5 bsf ledb,0 goto next4 next5 bcf ledb,0 57

59 next4 BTFSS LEDA,2 ; A 2 GOTO CLR3 ; bsf leda,6 goto next3 CLR3 BCF LEDa,6 NEXT3 RETURN ;**** **** WARI movwf wsave movf status,w movwf stsave bcf pir1,ccp1if incf chk,f movf stsave,w movwf status swapf wsave,f swapf wreg,w retfie END 58

60 ;******************************************************** ; ; ; ;******************************************************** list include p=pic16f628 p16f628.inc ;**************** Label Definition ******************** c10m equ h'20' ;Counter adr (10th min) c01m equ h'21' ;Counter adr ( 1st min) c10s equ h'22' ;Counter adr (10th sec) c01s equ h'23' ;Counter adr ( 1st sec) seg7_0 equ b' ' ;-gfedcba Pattern 0 seg7_1 equ b' ' ; Pattern 1 seg7_2 equ b' ' ; Pattern 2 seg7_3 equ b' ' ; Pattern 3 seg7_4 equ b' ' ; Pattern 4 seg7_5 equ b' ' ; Pattern 5 seg7_6 equ b' ' ; Pattern 6 seg7_7 equ b' ' ; Pattern 7 seg7_8 equ b' ' ; Pattern 8 seg7_9 equ b' ' ; Pattern 9 seg70 equ h'30' ;Pattern 0 set adr seg71 equ h'31' ;Pattern 1 set adr seg72 equ h'32' ;Pattern 2 set adr seg73 equ h'33' ;Pattern 3 set adr seg74 equ h'34' ;Pattern 4 set adr seg75 equ h'35' ;Pattern 5 set adr seg76 equ h'36' ;Pattern 6 set adr seg77 equ h'37' ;Pattern 7 set adr seg78 equ h'38' ;Pattern 8 set adr seg79 equ h'39' ;Pattern 9 set adr 59

61 tm_cnt equ h'40' ;Time adjust counter adr time_f equ h'41' ;Time out flag adr bcd_in equ h'42' ;BCD input area adr w_save equ h'43' ;W Register save adr s_save equ h'44' ;STATUS Register save adr CNT1 equ h'45' ;500usec counter adr CNT2 equ h'46' ;1msec counter adr CNT3 EQU 047H yset EQU 048H ytime EQU 049H MIN01 EQU 050H MIN10 EQU 051H SEC10 EQU 052H SEC01 EQU 053H KAWARI EQU 054H ;**************** Program Start *********************** org 0 ;Reset Vector goto init org 4 ;Interrupt Vector goto int ;**************** Initial Process ********************* init MOVLW 030H MOVWF PORTA CLRF PORTB MOVLW 007H ; MOVWF CMCON bsf status,rp0 ;Change to Bank1 movlw b' ' ;RA4/RA5:,RA3-0/RA6/RA7: movwf trisa ;Set PORTA 60

62 movlw b' ' ;RBPU/TOCS/PSA=0,PS=111 movwf option_reg ;Set OPTION_REG bcf status,rp0 ;Change to Bank0 movlw seg7_0 ;Set 7segment pattern 0 movwf seg70 ;Save pattern 0 movlw seg7_1 ;Set 7segment pattern 1 movwf seg71 ;Save pattern 1 movlw seg7_2 ;Set 7segment pattern 2 movwf seg72 ;Save pattern 2 movlw seg7_3 ;Set 7segment pattern 3 movwf seg73 ;Save pattern 3 movlw seg7_4 ;Set 7segment pattern 4 movwf seg74 ;Save pattern 4 movlw seg7_5 ;Set 7segment pattern 5 movwf seg75 ;Save pattern 5 movlw seg7_6 ;Set 7segment pattern 6 movwf seg76 ;Save pattern 6 movlw seg7_7 ;Set 7segment pattern 7 movwf seg77 ;Save pattern 7 movlw seg7_8 ;Set 7segment pattern 8 movwf seg78 ;Save pattern 8 movlw seg7_9 ;Set 7segment pattern 9 movwf seg79 ;Save pattern 9 clrf c10s ;Clear 10th sec counter clrf c01s ;Clear 1st sec counter bsf time_f,0 ;Set time out flag OFF CLRF yset CLRF ytime CLRF MIN10 CLRF MIN01 ;************* Timer stand-by Process ***************** stand_by CLRF C10S CLRF C01S bsf status,rp0 ;Change to Bank1 61

63 movlw 07FH ;RB6-0:,RB7: movwf TRISB ;Set PORTB BCF STATUS,RP0 MOVLW b' ' MOVWF PORTA call t1m ;Wait 1msec MOVFW PORTB XORLW 0FFH andlw h'0f' ;Pick-up digit movwf c10m ;Save 10th of the minute MOVLW B' ' MOVWF PORTA ;1st min BCD call t1m ;Wait 1msec MOVFW PORTB XORLW 0FFH andlw h'0f' ;Pick-up digit movwf c01m ;Save 1st of the minute MOVLW B' ' MOVWF PORTA call led_cont ;Call LED Countrol sub movf c10m,w ;Read 10th of minute btfss status,z ;10th of min is 0? goto sw_check ;Jump to start sw check movf c01m,w ;Read 1st of minute BTFSC status,z ;1st of min is 0? goto stand_by ;Time is 00:00 SW_CHECK CALL T1M BTFSS PORTA,4 GOTO START BTFSS PORTA,5 GOTO YOREI GOTO STAND_BY 62

64 ;************** Timer start Process ******************* start movlw d'196' ;Set Hard timer value movwf tmr0 ;Set TMR0 movlw d'63' ;Set Soft count value movwf tm_cnt ;Save time adjust movlw h'a0' ;GIE=1,TOIE=1 movwf intcon ;Interruption enable loop call led_cont ;Call LED Countrol sub movf yset,w ;/ btfsc status,z goto yskip movf ytime btfss status,z call piii ;// yskip movf time_f,w ;Read time out flag btfsc status,z ;Time out? goto time_out ;Yes. Jump to time out BTFSC PORTA,5 goto loop ;No. Retry time_out clrf intcon ;Interruptin disable BSF PORTB,7 CALL T2M BCF PORTB,7 goto init ;Jump to Initial Process ;************** LED Control Subroutine **************** led_cont BSF STATUS,RP0 MOVLW 000H 63

65 MOVWF TRISB BCF STATUS,RP0 BCF PORTA,3 ;RA3 = 1 (7seg 10th min) movf c10m,w ;Read 10th of min count movwf bcd_in ;Set count call bcd_7seg ;LED control BSF PORTA,3 bcf PORTA,2 ;RA2 = 1 (7seg 1st min) movf c01m,w ;Read 1st of min count movwf bcd_in ;Set count call bcd_7seg ;LED control BSF PORTA,2 BCF PORTA,1 ;RA1 = 1 (7seg 10th sec) movf c10s,w ;Read 10th of sec count movwf bcd_in ;Set count call bcd_7seg ;LED control BSF PORTA,1 bcf porta,0 ;RA0 = 1 (7seg 1st sec) movf c01s,w ;Read 1st of sec count movwf bcd_in ;Set count call bcd_7seg ;LED control BSF PORTA,0 return ;******* Change BCD to 7segment data Subroutine ******* bcd_7seg movlw seg70 ;Set 7seg pattern head addwf bcd_in,w ;Pattern table address movwf fsr ;Set table address movf indf,w ;Read 7seg pattern movwf portb ;Set pattern to PORTB 64

66 CALL T1M return ;************* 1msec Timer Subroutine ***************** T1M MOVLW 0F9H ;1 MOVWF CNT1 ;1+1=2 TIMLP1 NOP DECFSZ CNT1,F ;1+1+2=4 GOTO TIMLP1 ;2+4*249-1=997 RETURN ;997+2=999*1.0usec=0.999msec ;************ Begin Interruption Process ************** int movwf w_save ;Save W register movf status,w ;Read STATUS reg movwf s_save ;Save STATUS reg bcf status,rp0 ;Change to Bank0 btfsc intcon,t0if ;Time out interruption? goto timer_int ;Jump to Timer process ;************ END of Interruption Process ************** int_end movf s_save,w ;Read saved STATUS reg movwf status ;Recover STATUS reg swapf w_save,f ;Read saved W register swapf w_save,w ;Recover W register retfie ;*********** Time-out interruption Process ************ timer_int bcf intcon,t0if ;Clear timer int flag movlw d'196' ;Set Hard timer value movwf tmr0 ;Set TMR0 decfsz tm_cnt,f ;Time over? 65

67 goto int_end ;No. Retry movlw d'63' ;Set Soft count value movwf tm_cnt ;Save time adjust ;************* Timer count-down Process *************** decfsz c01s,w ;1st of sec is 0 from 1? goto countdown ;No. Jump to countdown ; Time-out check movf c10s,w ;Read 10th of sec counter btfss status,z ;10th of sec is 0? goto countdown ;Jump to countdown movf MIN01,w ;Read 1st of min counter btfss status,z ;1st of min is 0? goto countdown ;Jump to countdown movf MIN10,w ;Read 10th of min counter btfsc status,z ;10th of min is 0? goto piii modori movf c10s,w ;Read 10th of sec counter btfss status,z ;10th of sec is 0? goto countdown ;Jump to countdown movf c01m,w ;Read 1st of min counter btfss status,z ;1st of min is 0? goto countdown ;Jump to countdown movf c10m,w ;Read 10th of min counter btfss status,z ;10th of min is 0? goto countdown ;Jump to countdown time_out1 clrf time_f ;Set time-out flag ON goto int_end ;Return ; Timer countdown countdown 66

68 movf c01s,w ;Read 1st of sec counter btfss status,z ;1st of sec is 0? goto cd_c01s ;Jump to 1st sec cntdown movlw 9 ;Set 9 movwf c01s ;Save 9 to 1st of sec movf c10s,w ;Read 10th of sec counter btfss status,z ;10th of sec is 0? goto cd_c10s ;Jump to 10th min cntdown movlw 5 ;Set 5 movwf c10s ;Save 5 to 10th of sec ycheck movf c01m,w btfss status,z goto CD_C01M ;Jump to 1st min cntdown movlw 9 ;Set 9 movwf c01m ;Save 9 to 1st of min movf c10m,w ;Read 10th of min counter BTFSS status,z ;10th of min is 0? goto CD_C10M ;Jump to 10th min cntdown goto TIME_OUT1 ;Counter is 00:00 already cd_c01s decf c01s,f ;Count down 1st of sec BSF PORTB,7 CALL T1M CALL T1M BCF PORTB,7 goto int_end ;Return cd_c10s decf c10s,f ;Count down 10th of sec 67

69 BSF PORTB,7 CALL T1M CALL T1M BCF PORTB,7 goto int_end ;Return cd_c01m decf c01m,f ;Count down 1st of min decf min01,f BSF PORTB,7 CALL T1M CALL T1M BCF PORTB,7 goto int_end ;Return cd_c10m decf c10m,f ;Count down 10th of min decf min10,f BSF PORTB,7 CALL T1M CALL T1M BCF PORTB,7 goto int_end ;Return ;******************************************************** ; END of Count-down timer processing ;******************************************************** T2M MOVLW 001H MOVWF CNT2 MOVLW 008H MOVWF CNT3 MOVLW 001H MOVWF CNT1 TIMLP2 DECFSZ CNT1,F GOTO TIMLP2 68

70 DECFSZ CNT2,F GOTO TIMLP2 DECFSZ CNT3,F GOTO TIMLP2 RETURN ;******************************************************** ;******************************************************** YOREI bsf status,rp0 ;Change to Bank1 movlw 07FH ;RB6-0:,RB7: movwf TRISB ;Set PORTB BCF STATUS,RP0 MOVLW b' ' MOVWF PORTA call t1m ;Wait 1msec MOVFW PORTB XORLW 0FFH andlw h'0f' ;Pick-up digit movwf c10s ;Save 10th of the minute MOVLW B' ' MOVWF PORTA ;1st min BCD call t1m ;Wait 1msec MOVFW PORTB XORLW 0FFH andlw h'0f' ;Pick-up digit movwf c01s ;Save 1st of the minute MOVLW B' ' MOVWF PORTA call led_cont ;Call LED Countrol sub movf c10s,w ;Read 10th of minute btfss status,z ;10th of min is 0? 69

71 goto sw_check2 ;Jump to start sw check movf c01s,w ;Read 1st of minute ;BTFSC status,z ;1st of min is 0? ;goto stand_by ;Time is 00:00 SW_CHECK2 CALL T1M BTFSC PORTA,4 GOTO YOREI ESQAPU MOVF C10S,W MOVWF MIN10 MOVF C01S,W MOVWF MIN01 MOVLW 0C0H MOVWF CNT2 MOVLW 0F9H MOVWF CNT1 TIMLP3 NOP DECFSZ CNT1,F GOTO TIMLP3 DECFSZ CNT2,F GOTO TIMLP3 CLRF C10S CLRF C01S GOTO START FINI MOVF PORTB,W MOVWF KAWARI MOVLW 0FFH MOVWF PORTB CALL TITTI MOVF KAWARI,W MOVWF PORTB 70

72 RETURN NX01 BTFSC MIN01,3 GOTO ZYX BTFSC MIN01,2 GOTO ZYX BTFSC MIN01,1 GOTO ZYX BTFSC MIN01,0 GOTO ZYX DECF MIN01,F RETURN NX10 BTFSC MIN10,3 GOTO ZYX BTFSC MIN10,2 GOTO ZYX BTFSC MIN10,1 GOTO ZYX BTFSC MIN10,0 GOTO ZYX DECF MIN10,F RETURN ZYX RETURN TITTI MOVLW 001H MOVWF CNT2 MOVLW 006H MOVWF CNT3 MOVLW 001H MOVWF CNT1 TIMLP4 DECFSZ CNT1,F GOTO TIMLP4 DECFSZ CNT2,F 71

73 GOTO TIMLP4 MOVF CNT3,W BTFSC STATUS,Z RETURN PIPPI BTFSS CNT3,0 GOTO YOBI01 GOTO YOBI02 YOBI01 BSF PORTB,7 DECF CNT3,F GOTO TIMLP4 YOBI02 BCF PORTB,7 DECF CNT3,F GOTO TIMLP4 piii bsf portb,7 call t2m bcf portb,7 goto modori end 72

74 ;*************************************************** ; ; by PIC16F873 ; ; ; ;*************************************************** list p=pic16f876 include "p16f876.inc" tmvalueequ h'20' keta10 equ h'21' keta1 equ h'22' count1 equ h'23' count2 equ h'24' mkct1 equ h'25' mark1 equ h'26' disp1 equ h'27' mkct2 equ h'28' mark2 equ h'29' disp2 equ h'2a' temp equ h'2b' waru1 equ h'2c' waru10equ h'2d' kekka equ h'2e' naibu equ h'2f' seg7_0 equ b' ' ;gfedcba-pattern 0 seg7_1 equ b' ' ; Pattern 1 seg7_2 equ b' ' ; Pattern 2 seg7_3 equ b' ' ; Pattern 3 seg7_4 equ b' ' ; Pattern 4 seg7_5 equ b' ' ; Pattern 5 seg7_6 equ b' ' ; Pattern 6 seg7_7 equ b' ' ; Pattern 7 73

75 seg7_8 equ b' ' ; Pattern 8 seg7_9 equ b' ' ; Pattern 9 seg7_a equ b' ' ; Pattern a seg7_b equ b' ' ; Pattern b seg7_c equ b' ' ; Pattern c seg7_d equ b' ' ; Pattern d seg7_e equ b' ' ; Pattern e seg7_f equ b' ' ; Pattern f seg70 equ h'30' ;Pattern 0 set adr seg71 equ h'31' ;Pattern 1 set adr seg72 equ h'32' ;Pattern 2 set adr seg73 equ h'33' ;Pattern 3 set adr seg74 equ h'34' ;Pattern 4 set adr seg75 equ h'35' ;Pattern 5 set adr seg76 equ h'36' ;Pattern 6 set adr seg77 equ h'37' ;Pattern 7 set adr seg78 equ h'38' ;Pattern 8 set adr seg79 equ h'39' ;Pattern 9 set adr seg7a equ h'3a' ;Pattern a set adr seg7b equ h'3b' ;Pattern b set adr seg7c equ h'3c' ;Pattern c set adr seg7d equ h'3d' ;Pattern d set adr seg7e equ h'3e' ;Pattern e set adr seg7f equ h'3f' ;Pattern f set adr w_save equ h'43' ;W Register save adr s_save equ h'44' ;STATUS Register save adr ;**************** Program Start *********************** org 0 ;Reset Vector goto init org 4 ;Interrupt Vector goto tmr1int 74

76 ;**************** Initial Process ********************* init bsf status,rp0 ;bank 1 MOVLW 007H ; MOVWF ADCON1 bcf status, rp0 ; Bank 0 movlw b' ' movwf t2con ; TMR2 1:4 clrf tmr2 clrf ccpr1l movlw b' ' ; PWM movwf ccp1con movwf ccp2con clrf ccpr1l clrf ccpr2l clrf t1con ; timer1 1:1 clrf pir1 ; flag clear movlw b' ' movwf intcon ; PEIE on bsf status,rp0 ;Change to Bank1 movlw b' ' ;porta movwf trisa ;Set PORTA clrf trisb ;portb clrf trisc ;portc movlw b' ' ; movwf option_reg ;Set OPTION_REG ;PWM movlw h'ff' movwf pr2 movlw b' ' movwf pie1 bcf status,rp0 ;Change to Bank0 movlw seg7_0 ;Set 7segment pattern 0 75

77 movwf seg70 ;Save pattern 0 movlw seg7_1 ;Set 7segment pattern 1 movwf seg71 ;Save pattern 1 movlw seg7_2 ;Set 7segment pattern 2 movwf seg72 ;Save pattern 2 movlw seg7_3 ;Set 7segment pattern 3 movwf seg73 ;Save pattern 3 movlw seg7_4 ;Set 7segment pattern 4 movwf seg74 ;Save pattern 4 movlw seg7_5 ;Set 7segment pattern 5 movwf seg75 ;Save pattern 5 movlw seg7_6 ;Set 7segment pattern 6 movwf seg76 ;Save pattern 6 movlw seg7_7 ;Set 7segment pattern 7 movwf seg77 ;Save pattern 7 movlw seg7_8 ;Set 7segment pattern 8 movwf seg78 ;Save pattern 8 movlw seg7_9 ;Set 7segment pattern 9 movwf seg79 ;Save pattern 9 movlw seg7_a movwf seg7a movlw seg7_b movwf seg7b movlw seg7_c movwf seg7c movlw seg7_d movwf seg7d movlw seg7_e movwf seg7e movlw seg7_f movwf seg7f ;***************************************** ; ; 76

78 ; ;***************************************** start clrf keta10 clrf keta1 clrf mkct1 clrf mark1 clrf mkct2 clrf mark2 clrf disp1 clrf disp2 movlw d'50' movwf count1 movwf count2 bsf t2con, tmr2on ; tmr2 clrf tmr0 movlw b' ' movwf porta clrf portb movlw h'd0' movwf tmr1l movlw h'8a' movwf tmr1h bsf t1con, tmr1on bsf intcon, gie loop movlw seg70 ;Set 7seg pattern head addwf keta1,w ;Pattern table address movwf fsr ;Set table address movf indf,w ;Read 7seg pattern btfss portb, 3 andlw b' ' movwf portb ;Set pattern to PORTB 77

79 movlw b' ' iorwf portc, f bcf portc, 3 goto main mati1 nop ; TMR0 tmr1lp btfss goto bcf intcon, t0if tmr1lp intcon, t0if movlw seg70 ;Set 7seg pattern head addwf keta10,w ;Pattern table address movwf fsr ;Set table address movf indf,w ;Read 7seg pattern btfss portb, 3 andlw b' ' movwf portb ;Set pattern to PORTB movlw b' ' iorwf portc, f bcf portc, 0 decfsz count2, f goto tmr2lp movlw d'15' movwf count2 movf disp1, w ; call warizan ; movf kekka, w movwf temp movf disp2, w ; call warizan bcf status, c 78

80 rrf temp, f ; / bcf status, c rrf kekka, f ; / movf temp, w addwf kekka, f ; call henkan ; movf temp, w ; keta1 andlw h'0f' movwf keta1 swapf temp, w ; keta10 andlw h'0f' movwf keta10 ; TMR0 tmr2lp btfss goto bcf intcon, t0if tmr2lp intcon, t0if goto loop ;************************************************* ; ; warizan program (2000 / disp1 ) -> kekka ; kekka -> 16 shinnsuu 2 keta ;************************************************* warizan movwf naibu movlw h'07' movwf waru10 79

81 movlw h'd0' movwf waru1 clrf kekka movlw d'21' subwf naibu, w btfss status, c goto waruzero hiku movf naibu, w subwf waru1, f btfsc status, c goto plus movf waru10, w btfsc status, z return decf waru10, f plus incf kekka, f goto hiku waruzero clrf kekka return ;********************************************* ; ; henkan program 16 shin -> 10 shin ; ;********************************************* henkan clrf movf btfsc return temp kekka, w status, z tasu1 incf movf temp, f temp, w 80

82 andlw h'0f' sublw h'0a' btfss status, z goto tasu2 swapf temp, f movlw h'0f' andwf temp, f incf temp, f swapf temp, f tasu2 decfsz kekka, f goto tasu1 return ;********************************************* ; ; timer1 interrupt routine ; ;********************************************* tmr1int movwf w_save movf status, w movwf s_save btfss pir1, tmr1if goto intend bcf pir1, tmr1if bcf t1con, tmr1on movlw h'd0' movwf tmr1l movlw h'8a' movwf tmr1h bsf t1con, tmr1on btfss porta, 4 goto whitemk1 movf mark1, w 81

83 btfss status, z clrf mark1 movlw d'166' subwf mkct1, w btfss status, c goto blackmk1 movlw h'ff' movwf mkct1 goto leftport blackmk1 incf mkct1, f goto leftport whitemk1 movf btfsc goto incf btfsc decf goto mark1, w status, z zeromk1 mkct1, f status, z mkct1, f leftport zeromk1 bsf mark1, 0 movf mkct1, w movwf disp1 clrf mkct1 leftport movlw h'ff' subwf mkct1, w btfss status, z goto left3 movlw h'00' movwf disp1 left3 btfss porta, 5 82

84 goto whitemk2 movf mark2, w btfss status, z clrf mark2 movlw d'166' subwf mkct2, w btfss status, c goto blackmk2 movlw h'ff' movwf mkct2 goto left2 blackmk2 incf mkct2, f goto left2 whitemk2 movf btfsc goto incf btfsc decf goto mark2, w status, z zeromk2 mkct2, f status, z mkct2, f left2 zeromk2 bsf mark2, 0 movf mkct2, w movwf disp2 clrf mkct2 left2 movlw h'ff' subwf mkct2, w btfss status, z goto intend movlw h'00' movwf disp2 83

85 intend movf disp1, w movwf temp movf s_save, w movwf status swapf w_save, f swapf w_save, w retfie ;*************************************** ; ; ; ;*************************************** main btfss porta,0 ; goto w?? ; goto b?? ; w?? btfss porta,1 ; goto ww? ; goto wb? ; ww? btfss porta,2 ; goto back ; goto right ; wb? btfss porta,2 ; goto fowd ; goto right ; b?? btfss porta,1 ; goto bw? ; goto bb? ; 84

86 bw? btfss porta,2 ; goto left ; goto right ; bb? btfss porta,2 ; goto fowd ; goto right ; ;*************************************** ; ;*************************************** left bcf portc,7 ; bsf portc,6 ; movf ccpr1l,w ; addlw d'5' ; btfsc status,c ; movlw h'ff' ; movwf ccpr1l mtr2dwn bcf portc,5 ; bsf portc,4 ; movf ccpr1l,w ; movlw d'5' ; subwf ccpr2l,w btfss status,c ; movlw h'50' ; movwf ccpr2l goto mati1 right bcf portc,5 ; bsf portc,4 ; movf ccpr2l,w ; addlw d'5' ; btfsc status,c ; 85

87 movlw H'ff' ; movwf ccpr2l mtr1dwn bcf portc,7 ; bsf portc,6 ; movf ccpr1l,w ; movlw d'5' ; subwf ccpr1l,w btfss status,c ; movlw h'50' ; movwf ccpr1l goto mati1 fowd ; call right12 call left12 goto mati1 right12 bcf portc,7 ; bsf portc,6 ; movf ccpr1l,w ; addlw d'5' ; btfsc status,c ; movlw h'ff' ; movwf ccpr1l return left12 bcf portc,5 ; bsf portc,4 ; movf ccpr2l,w ; addlw d'5' ; btfsc status,c ; movlw H'ff' ; movwf ccpr2l return back 86

88 call call goto rmtr1 rmtr2 mati1 rmtr1 rmtr2 bcf portc,6 bsf portc,7 movlw h'80' movwf ccpr1l addlw d'5' btfsc status,c movlw H'ff' movwf ccpr1l return bcf portc,4 bsf portc,5 movlw h'80' movwf ccpr2l addlw d'5' btfsc status,c movlw H'ff' movwf ccpr2l return END 87

3 4 PIC

3 4 PIC PIC 16 2 9 3 4 PIC 5 7 4-1 4-2 4-3 4-4 4-5 4-6 4-7 4-8 4-9 7 7 7 0 7 0 7 11 13 14 15 19 5-1 5-2 5-3 19 19 19 5-4 20 5-5 20 5-6 22 5-7 23 5-8 25 5-9 26 5-10 27 29 6-1 29 6-2 29 6-3 29 1 6-4 IC 30 6-5 31

More information

,, ( ) 5 ma ( ) 5V V 5 6 A B C D E F G H I J , LED LED, LED, 7 LED,, 7 LED ( ) V LED VCC 5V 7 LED VCC f g f a g b a b c e d e d c dp dp VCC (

,, ( ) 5 ma ( ) 5V V 5 6 A B C D E F G H I J , LED LED, LED, 7 LED,, 7 LED ( ) V LED VCC 5V 7 LED VCC f g f a g b a b c e d e d c dp dp VCC ( [] PIC 8 (/6, 6/ ) (/, 6/) (5/7, 6/8) PIC PIC PIC (5/, 6/5) V 5 (5/, 7/ ) V LED ( LED ( /, 6/) V V V ( 5/8, 6/9) V ( 5/5, 6/6) ( V 5/8, 7/ 9) V % 6%, LED, LED /7, 6/ 5) 7,, LED, LED LED ,, ( ) 5 ma ( )

More information

untitled

untitled 1050259 16 2 22 1 1 DC DC 2 20 TRIZ PIC PIC MPLAB IDE PIC16F84A PIC16F876 DC 3 20 20 PIC 4 16*32 24*72 ( 1-1) 5 ON,OFF 1-2 & 10ms 6 7 2-1 8 2 PWM Microchip Technology PIC 9 1 H PIC 10 PID 90g PWM P I PWM

More information

untitled

untitled PIC Pic MPLAB HEX Pic PIC 18CXXX 14000 17CXXX 16C92X 16F8XX 16C7XX 16C6XX 16C62X 16F8X 12C5XX 16C5X 16C55X 12C6XX d f b f k k PIC 4 2 1 2 1 SPI SPI,SSART SPI 4 5 8 1 2 SPI,USART 1 64 128 256 8 (10bit)

More information

前付(念).indd

前付(念).indd 図解 PIC マイコン実習 ( 第 2 版 ) サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/078332 このサンプルページの内容は, 第 2 版 1 刷発行時のものです. i 第 2 版 まえがき 10 MPLAB PIC USB MPLAB X 2 PIC16F84A PIC PIC

More information

PIC18 Istructios PIC16, PIC x Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52

PIC18 Istructios PIC16, PIC x Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52 PIC18 2003 Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 51 PIC18 Istructios PIC16, PIC17 16 16 8x8 2003 Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52 PIC18

More information

スライド 1

スライド 1 6.LED( 発光ダイオード ) の制御を学ぼう 本稿の Web ページ http://www.cmplx.cse.nagoya-u.ac.jp/~furuhashi/education/pic/index.html 1 5V R 4 SW 1 R 3 R 2 SW 2 SW 3 PIC16F84A 1 RA2 RA1 18 2 RA3 RA0 17 3 RA4 OSC1 16 4 MCLR OSC2

More information

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018 工学ゼミ Ⅲ 安全 環境活動に役立つ LEDイルミネーションの製作 第 1 回 1. 概要 3~5 名の学生グループで安全 環境活動に役立つ LED イルミネーションを作製する 作品のデザイン画や部品リスト 回路図 動作フロー図等は事前に作成し 計画的に作業を行うことが求められる 2. 達成すべき目標 作品に係る資料を事前にまとめ それに基づいて製作が行える 集団の中で 自身の知識 技術を積極的に応用しながら

More information

3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3

3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3 1 ROM 3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3 000 001 EEPROM 3FF 14bit1024 A B 00 INDIRECT ADDR 80 INDIRECT ADDR 01 TMR0 81 OPTION 02 PCL 82 PCL 03 STATUS 83 STATUS 04 FSR 84

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

Taro11-…e…L…X…g.jtd

Taro11-…e…L…X…g.jtd PIC アセンブラの基礎 年組番氏名 群馬県立利根実業高等学校 工業技術科情報技術コース 1.PICとは? PIC( ピック ) とは Peripheral Interface Controllerの頭文字から名付けられ 周辺インターフェイス コントローラを意味する 米国のMicrochip Technology 社により開発されたワンチップマイコン ( マイクロコントローラ ) 製品のシリーズ名称である

More information

回路 : Vdd GND 回路図と呼べるようなものではありません オシレータは外部 ( セラミック発振子 ) なので GP4 と GP5 は使えません 四角の枠内はモジュールなので ここから VDD GND TX RX の4 本をつなぐだけです 測定端子 (GP0) は 1MΩの抵抗と 2MΩの半固

回路 : Vdd GND 回路図と呼べるようなものではありません オシレータは外部 ( セラミック発振子 ) なので GP4 と GP5 は使えません 四角の枠内はモジュールなので ここから VDD GND TX RX の4 本をつなぐだけです 測定端子 (GP0) は 1MΩの抵抗と 2MΩの半固 ペン型オシロスコープ ( もどき ) の作り方 本書は PC 接続タイプの簡易 ペン型オシロスコープ を自作する方のための解説書です 開発時間 経費を極力おさえたため 通常の電子回路やファームウェアの作成方法と異なることがあります 動作不具合 故障などは保証いたしません また 本機を接続 ソフトウェアを使用したことによるパソコンの故障等の一切の責務は当方にはありません 自己責任にてご利用ください と

More information

DVIOUT-soturon

DVIOUT-soturon PIC/CPLD 1060226 18 2 20 1 1 4 2 PIC 5 2.1............................ 5 2.2................................ 5 2.3.............................. 5 2.3.1 MPLAB IDE CCSC............. 6 3 LED 7 3.1............................

More information

スライド 1

スライド 1 4. 演算命令 ( つづき ) ( 足し算の桁上がり,Rotate, etc.) を学ぼう 本稿の Web ページ http://www.cmplx.cse.nagoya-u.ac.jp/~furuhashi/education/pic/index.html 1 本章では足し算の桁上がり情報の格納場所の確認をするプログラムを学びます. PIC16F マイコンではデータは 8 ビットで表されています.

More information

PIC (, 2, 3 ) PIC ( 1, 2, 3 ) 1 2 (, 2 ) PIC ( 1, 2 ) 2.1 (p.34) define #define (define ) (p.61) 1 30 (RD 7 /P SP 7 ) 32 (V DD ) IC

PIC (, 2, 3 ) PIC ( 1, 2, 3 ) 1 2 (, 2 ) PIC ( 1, 2 ) 2.1 (p.34) define #define (define ) (p.61) 1 30 (RD 7 /P SP 7 ) 32 (V DD ) IC PIC 19 12 22 1 (, 2, 3 ) PIC ( 1, 2, 3 ) 1 2 (, 2 ) PIC ( 1, 2 ) 2.1 (p.34) define #define (define ) 2.2 4-1 (p.61) 1 30 (RD 7 /P SP 7 ) 32 (V DD ) IC 2.3 5-2 (p.102) 5 6 ADCON0< 5 >, ADCON0< 4 > ADCON1

More information

スライド 1

スライド 1 9. 割り込みを学ぼう 9.1 外部からの割り込み (SW1 を押すことにより割り込みをかける方法 ) 9.2 タイマ 0 による割り込み ( 処理タイミングの管理方法 : 一定時間毎に LED1, 2, 3 を点滅させる方法 ) 回路製作の詳細は第 0 章を参照してください. 1 9.1 外部からの割り込み (SW1 を押すことにより割り込みをかける方法 ) ;Interrupt test program

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

Microsoft Word - 4章.doc

Microsoft Word - 4章.doc Ⅳ 赤外線 LED 活用例 ( 赤外線通信 ) 3 実験 (1) 赤外線通信の様子を調べる回路の製作ア使用部品実験に使用する部品を表 Ⅳ-3に示す 表 Ⅳ-3 赤外線通信実験ボード部品表 No 部品名 個数 1 家庭用電化製品のリモコン 1 2 ブレットボード 1 3 赤外線受信モジュール 1 4 抵抗 430Ω 1 5 信号確認用赤色発光ダイオード 1 6 測定用オシロスコープ 1 7 電池 BOX

More information

Microsoft Word - テキスト.docx

Microsoft Word - テキスト.docx 学籍番号 氏 名 情報電子工学演習 Ⅴ( ハードウェア実技編 ) PIC マイコンによる光学式テルミンの製作 新潟工科大学情報電子工学科 課題チェック欄 課題 ドレミ音の発生 (6/28) 回路図 (7/5) フローチャート (7/12) 評価 スケジュール < 内容 > < 集合場所 > 第 1 回 (6/14) PIC マイコンとタイマモジュールの活用 [S2-9] 第 2 回 (6/21) パルスの発生とオシロスコープによる観察

More information

Microsoft PowerPoint - 第8α章.ppt [互換モード]

Microsoft PowerPoint - 第8α章.ppt [互換モード] 第 8α 章 PIC16F88を 用 いたステッピングモータ の 速 度 制 御 本 稿 のWebページ 目 次 8-1. PIC16F88を 用 いたステッピングモータ 制 御 の 実 験 回 路 図 回 路 図 立 体 配 線 図 完 成 写 真 8-2.ステッビングモータの 定 速 駆 動 8-3.タイマ0 割 り 込 みによる 制 御 周 期 管 理 8-4. A/D 変 換 モジュール 8-5.

More information

PIC

PIC PIC LED12 LED11 LED10 LED9 LED8 LED7 LED6 LED5 LED4 LED3 LED2 LED1 +3V SW START SW STOP SW + - BUZZER PIC16F628A RA2 RA3 RA4 MCLR GND RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 Vcc RA6 RA0 RA1 RA7 U1

More information

1. 購入物品リスト 電子部品名 用途 規格 単価 数量 合計 購入先 PIC16F84A-20/P PIC16F 秋月電子通商 カーボン抵抗 1/4W R0,R1 10kΩ 秋月電子通商 カーボン抵抗 1/4W R2,R2 追加分 300Ω 秋月

1. 購入物品リスト 電子部品名 用途 規格 単価 数量 合計 購入先 PIC16F84A-20/P PIC16F 秋月電子通商 カーボン抵抗 1/4W R0,R1 10kΩ 秋月電子通商 カーボン抵抗 1/4W R2,R2 追加分 300Ω 秋月 電子回路設計演習レポート 目次 : 1. 購入物品リスト 2. 課題 3: 7 セグメント LED の表示 2.1 課題 3 のプログラムリスト 3. 自由課題 : プレイステーションパッドによるデジ Q のリモコン操作 3.1 パッドの改造 3.2 リモコンの機能 3.3 プログラムリスト参考文献付録 : DigiQ 通信仕様付録 : プレイステーション PAD 通信仕様 提出年月日 2005 年

More information

2. アーキテクチャ 概 要 PIC16F8x ファミリは 命 令 語 長 14bit の RISC[1]で 命 令 は35 種 類 である 1 命 令 は4クロックで 実 行 されるが 実 際 にはパイプライン 処 理 [2]されている ノイマン 型 コンピュータ[3]と 違 いプログラムとデータ

2. アーキテクチャ 概 要 PIC16F8x ファミリは 命 令 語 長 14bit の RISC[1]で 命 令 は35 種 類 である 1 命 令 は4クロックで 実 行 されるが 実 際 にはパイプライン 処 理 [2]されている ノイマン 型 コンピュータ[3]と 違 いプログラムとデータ 1. PIC とは PIC とはその 挙 動 をプログラムできる IC のことである Peripheral Interface Controller の 略 でコン ピュータの 周 辺 機 器 の 接 続 部 分 をコントロールするために 開 発 されたマイクロコントローラである 開 発 元 は Microchip Tecnology Inc. 社 で PIC とは 同 社 の PICmicro(R)マイクロコントローラを

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 午後の部 準受動ロボット作り電子回路編 部品の確認 NO 品 名 個数 1 ブレッドボード 1 2 PIC12F675 1 3 単連式ボリューム B 特性 10kΩ 1 4 低ドロップ電圧レギュレータTA4805S(5V1A) 1 5 電解コンデンサー 47uF16V 1 6 セラミックコンデンサー 0.1uF 1 7 BH-9V-3A 型電池ホルダー BH-9V-3A 1 8 9V 006P 電池

More information

Microsoft Word - 組込みプログラミング2018テキスト

Microsoft Word - 組込みプログラミング2018テキスト ロボティクスコース実験 Ⅰ 組込みプログラミング Ⅰ, Ⅱ 平成 30 年度版 1. スケジュール 日付内容 4/16 - コンピュータの機械語 ( ニーモニック ) と MPLAB( プログラム開発環境 ) の使い方 - 実験 1の予備実験 4/23 - 実験 1の予備実験のまとめ - 実験 1の計画書の作成とチェック 5/2 - 実験 1の実施とレポート作成 月曜授業 5/7 - 実験 1のレポートのチェック

More information

スライド 1

スライド 1 3. 演算命令を学ぼう 本稿の Web ページ http://www.mybook-pub-site.sakura.ne.jp/pic/index.html 1 ; ADD このソースファイルを各自打ち込んで下さい. EQU 0x0C ; at 0C 足し算を実行するプログラムの例です. MOVLW B 00000001 ; Load 0x01 to W ADDLW B'00000011' ; W

More information

Microsoft Word - 工学ゼミ3_テキスト

Microsoft Word - 工学ゼミ3_テキスト 2017 年 工学ゼミ Ⅲ 安全 環境活動に役立つ LEDイルミネーションの製作 1. 概要 3~5 名の学生グループで安全 環境活動に役立つ LED イルミネーションを作製する 作品のデザイン画や部品リスト 回路図 動作フロー図等は事前に作成し 計画的に作業を行うことが求められる 2. 達成すべき目標 作品に係る資料を事前にまとめ それに基づいて製作が行える 集団の中で 自身の知識 技術を積 極的に応用しながら

More information

卒 業 研 究 報 告

卒 業 研 究 報 告 卒業研究報告 題 目 USB 経由でグラフィック液晶を制御する回路の設計と製作 指導教員 綿森道夫准教授 報告者 学籍番号 : 923 氏名 : 井上慎也 平成 2 年 2 月 2 日 高知工科大学電子 光システム工学科 目次 第 章序論 はじめに 2 研究目的 3 研究の新規性 第 2 章 PIC プロセッサについて 2 PIC とは 2 2 2 PIC の種類 2 2-3 PIC の選択と研究で使用した

More information

BANK1 MOVLW b' ' PIC16F648A独自 MOVWF CMCON コンパレータ OFF BCF INTCON,PEIE 周辺割り込み OFF PIC16F648A独自 MOVLW B' ' RB2/TX, RB1/RX PIC16F648A独自 MOVW

BANK1 MOVLW b' ' PIC16F648A独自 MOVWF CMCON コンパレータ OFF BCF INTCON,PEIE 周辺割り込み OFF PIC16F648A独自 MOVLW B' ' RB2/TX, RB1/RX PIC16F648A独自 MOVW Function: PIC16F648A Tiny Monitor Processor: PIC16F648A at 20 MHz using external HS oscillator Hardware: http://www.geocities.jp/jk1brk/misc/pic/pic16f648a.pdf Filename: PicMonV6.asm Author: jk1brk Website:

More information

DS30430C-J2-page 2 : 1998 Microchip Technology Inc.

DS30430C-J2-page 2 : 1998 Microchip Technology Inc. ( ) RAM ( ) EERPOM ( ) (MHz) 14 8 RA2 RA3 RA4/T0CKI MCLR VSS RB0/INT RB1 RB2 RB3 1 2 3 4 5 6 7 8 9 PDIP, SOIC PIC16F8X PIC16CR8X 18 17 16 15 14 13 12 11 10 RA1 RA0 OSC1/CLKIN OSC2/CLKOUT VDD RB7 RB6 RB5

More information

#include "uart.h" // #define RTC8583 0xA0 // RTC address #define CTRL 0x00 // RTC register notation START/STOP #defin

#include uart.h // #define RTC8583 0xA0 // RTC address #define CTRL 0x00 // RTC register notation START/STOP #defin ****************** RTC clock with thermo & moisture meter by PIC12F1829 LCD display and serial output with internal clock By nobcha all right reserved Reffer to 05/22/2014 PIC16F1827+RTC8564NB + SHT-11

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 02-03 回回路構築 /LEDを用いた出力制御担当 : 植村 導入 講義内容 本講義では携帯電話や携帯オーディオプレイヤー 各種ロボットなどの電子機器 情報機器に用いられるマイコン制御技術を 実習を通して理解する PIC: Peripheral Interface Controller 直訳 : 周辺装置インタフェースコントローラー マイクロコンピュータ CPUやメモリをワンチップ化した小型のコンピュータパソコンのような汎用性はないが低コスト

More information

1.1 ラベル ラベルはカラム 1 から始まらなければならない ラベルの後にはコロン スペース タブ 改行が続いてよい ラベルはアルファベットかアンダーバーで始まり 英数字 アンダーバー クエスチョンマークを含んでよい ラベルは 32 文字までである デフォルトではこれらは大文字と小文字を区別するが

1.1 ラベル ラベルはカラム 1 から始まらなければならない ラベルの後にはコロン スペース タブ 改行が続いてよい ラベルはアルファベットかアンダーバーで始まり 英数字 アンダーバー クエスチョンマークを含んでよい ラベルは 32 文字までである デフォルトではこれらは大文字と小文字を区別するが MPASM MPASM は Microchip Tecnology Inc. 社の開発した PIC のためのアセンブリ言語である ここでは MPASM の文法と使用法などについて記述する 1. 文法 ソースコードファイルは ASCII テキストファイルエディターを使って作成する そのように作られたソースコードは以下に示す基本的ガイドラインに従うべきである ソースファイルの各行は次の 4 つのタイプの情報を含んでよい

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 実習の部 二足歩行ロボット作り ( ボディ編 ) 二足歩行ロボットの応用 歩く方向を制御してみよう?! 機械工学の原理 ( 力学的モーメント ) とマイクロチップによるサーボモータ制御 ( 電子工学 ) の利用 既存の技術をどうマッチングするか, できるだけ早く試してみたい 3Dプリンティング技術を用いたアタッチメントの造形 プログラムのテーマと関係する科学研究費 研究代表者研究期間研究種目課題番号研究課題名

More information

Microsoft Word - 工学ゼミ3_テキスト

Microsoft Word - 工学ゼミ3_テキスト 2016 年 工学ゼミ Ⅲ 安全 環境活動に役立つ LEDイルミネーションの製作 1. 概要 3~5 名の学生グループで安全 環境活動に役立つ LED イルミネーションを作製する 作品のデザイン画や部品リスト 回路図 動作フロー図等は事前に作成し 計画的に作業を行うことが求められる 2. 達成すべき目標 作品に係る資料を事前にまとめ それに基づいて製作が行える 集団の中で 自身の知識 技術を積 極的に応用しながら

More information

64 松尾邦昭 2. 無線送受信装置 SANWA 製のラジコン送受信装置は, その機能性の豊富さと価格の安さを理由に多くのラジコン ファンに愛用されており, 今回使用した VG6000は, チャンネル数が6チャンネルあり, ヘリコプター制御用に設計 開発され使用されているものだが, 我々はこれをロボ

64 松尾邦昭 2. 無線送受信装置 SANWA 製のラジコン送受信装置は, その機能性の豊富さと価格の安さを理由に多くのラジコン ファンに愛用されており, 今回使用した VG6000は, チャンネル数が6チャンネルあり, ヘリコプター制御用に設計 開発され使用されているものだが, 我々はこれをロボ 広島国際学院大学研究報告, 第 42 巻 (2009),63~68 63 無線の信号を PIC へ 松尾 邦昭 ( 平成 21 年 ₉ 月 24 日受理 ) How to Transform the Signal from a Radio Controller to a PIC Kuniaki MATSUO (Received September 24, 2009) This short report

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information

序文

序文 序文 マイクロコントローラを勉強する際 原理だけではなく実験することが必要です 手を動かし 実践に問題を解決することによってマイコンの知識を理解し使いこなせるようになります この実験マニュアルにはプログラムを書くのをはじめ ハードウェアの回路の知識までもたらします この実験マニュアルに説明してあるほとんどの実験は PIC マイコンの CP-PIC V3.0/V3.0 EXP に対象し 実験するのに

More information

も 常に 2 つのコイルに電圧を印加する 2 層励磁方式や 1 つのコイルと 2 つのコイルに交互に電圧を印 2

も 常に 2 つのコイルに電圧を印加する 2 層励磁方式や 1 つのコイルと 2 つのコイルに交互に電圧を印 2 コンピュータ工学講義プリント (2 月 5 日 ) 今回は パルスモータ ( ステッピングモータ ) の制御法を学ぶ パルスモータは 電圧のパルスを入力すると そのパルスの数に比例した角度だけ回転する性質を持っている そのため 回転角のセンサを用いることなく 回転角を制御用のマイコンが把握できる事となり マイコン制御に向いたモータといえる パルスモータの原理( 教科書 P.134 参照 ) パルスモータにはコイルに流れる電流の方向が変わるバイポーラ型と

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

#define HOUR 0x04 #define DAY 0x05 #define WEEKDAY 0x06 #define MONTH 0x07 #define YEAR 0x08 #define CKOUT 0x0D #define CTRLT 0x0E // CLKOUT // TIMER

#define HOUR 0x04 #define DAY 0x05 #define WEEKDAY 0x06 #define MONTH 0x07 #define YEAR 0x08 #define CKOUT 0x0D #define CTRLT 0x0E // CLKOUT // TIMER ****************** RTC clock with thermo & moisture meter by PIC12F1827 LCD display and internal clock By nobcha all right reserved Ver 1.0 10/14/2012 PIC16F1827 4bits paralell LCD PIC12F1827 + LCD + RTC8564NB

More information

USB モジュール

USB モジュール 概要 ピン配置図 本 USB モジュールは DIP24 ピンのスペースに USB の核となる FT232BM を採用し周辺にリセッタブルヒューズ 3.3V レギュレータ発振子 E2PROM リセット回路等配置 USBコネクターを接続するだけで USB RS232TTL レベル変換を行う事ができます モジュール主要特性 E2PROM に USB VID PID シリアル番号および製品記述可能 USB1.1,USB2.0

More information

DS30292A-J-page 2 Preliminary 2000 Microchip Technology Inc. PIC16F876/

DS30292A-J-page 2 Preliminary 2000 Microchip Technology Inc. PIC16F876/ PDIP H ) MCLR/VPP/THV RA0/AN0 RA1/AN1 RA2/AN2/VREF- RA3/AN3/VREF+ RA4/T0CKI RA5/AN4/SS RE0/RD/AN5 RE1/WR/AN6 RE2/CS/AN7 VDD VSS OSC1/CLKIN OSC2/CLKOUT RC0/T1OSO/T1CKI RC1/T1OSI/CCP2 RC2/CCP1 RC3/SCK/SCL

More information

BSF PORTA BCF PORTA MOVLWB MOVLWB MOVLWB MOVLWB , E=1, E=0 8 ビット 行表示 ディスプレイ制御 表示クリア エントリーモード CALLDSP MAIN BCF PORTA,3 R

BSF PORTA BCF PORTA MOVLWB MOVLWB MOVLWB MOVLWB , E=1, E=0 8 ビット 行表示 ディスプレイ制御 表示クリア エントリーモード CALLDSP MAIN BCF PORTA,3 R counter.asm 011 /9/3-6 A/D converter INCLUDE "P16F819.INC" LIST =16F819 P コンフィグ クロック信号 HS パワーアップタイマのみオン CONFIG _HS_OSC& _WDT_OFF& _PWRTE _ON& _BODEN _OFF& _LVP_OFF& ファイルレジスタ定義 N EQU 0x0 N1 EQU 0x1 N EQU

More information

<4D F736F F D C835E838A EA993AE90A78CE CC8A4A94AD2E646F63>

<4D F736F F D C835E838A EA993AE90A78CE CC8A4A94AD2E646F63> 卒業研究報告 題目 プラネタリウム自動制御システムの開発 指導教員 山本真行助教授 報告者 学籍番号 : 1070276 氏名 : 川隅慎司 平成 19 年 2 月 20 日 高知工科大学電子 光システム工学科 第 1 章序論 1 1.1 背景 1 1.2 目的 2 第 2 章装置の仕様 3 2.1 装置の構成 3 2.1.1 全体の構成図 3 2.1.2 投影機 4 2.1.3 コントロールボックス

More information

PIC16C7X日本語データシート

PIC16C7X日本語データシート PIC16C7X PIC16C7X A/D CMOS 1996 Microchip Technology Inc. DS30390B-J00 - page 1 PIC16C7X DS30390B-J00 - page 2 1996 Microchip Technology Inc. PIC16C710 RA2/AN2 RA3/AN3/V REF RA4/T0CKI MCLR/VPP V SS V SS

More information

1 4 8 (DC) PWM.

1 4 8 (DC) PWM. 4 8 (DC) Web http://www.mybook-pub-site.sakura.ne.jp/motor Drive note/index.html 1 4 8 (DC) 2 4.1.................................... 2 4.2............................. 6 4.3............... 7 4.4 1.......................

More information

i /..12 / / /...22 /

i /..12 / / /...22 / (Design and Fabrication of the electronic circuits for miniature mobiles with sensors) 1055091 17 20 i.........3...3...4...8...8...8...10...12 /..12 /.17...18...18...19...20 /...21...21...21...22...22

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

PIC

PIC PIC - 1 ページ 2 週目 UBW を使用してみる 2010 年 5 月 23 日 15:28 前回の Gainer mini はあくまでも PC 側にプログラムがあり PIC は IO ボックス的な使用しかできなかった 入出力デバイスとして PIC を使う場合は 簡易で便利であるが それ以上の事 (PC なしでの動作 PC と対等の動作 ) は出来ない 今週からは 本来の PIC としての使い方を学ぶ

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

2

2 L C -24K 9 L C -22K 9 2 3 4 5 6 7 8 9 10 11 12 11 03 AM 04 05 0 PM 1 06 1 PM 07 00 00 08 2 PM 00 4 PM 011 011 021 041 061 081 051 071 1 2 4 6 8 5 7 00 00 00 00 00 00 00 00 30 00 09 00 15 10 3 PM 45 00

More information

2

2 L C -60W 7 2 3 4 5 6 7 8 9 0 2 3 OIL CLINIC BAR 4 5 6 7 8 9 2 3 20 2 2 XXXX 2 2 22 23 2 3 4 5 2 2 24 2 2 25 2 3 26 2 3 6 0 2 3 4 5 6 7 8 9 2 3 0 2 02 4 04 6 06 8 08 5 05 2 3 4 27 2 3 4 28 2 3 4 5 2 2

More information

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1 1 8 Z80 Z80 20 8080 GBA ASIC 2 WINDOWS C 1 2.1 Z-80 A 0 - A 15 CPU Z80 D 0- D 7 I/O Z80 1: 1 (1) CPU CPU Z80 CPU Z80 AND,OR,NOT, (2) CPU (3) I/O () Z80 (4) 2 Z80 I/O 16 16 A 0, A 1,, A 15 (5) Z80I/O 8

More information

余白 : 上下 25.4mm, 左右 19mm (Word2012 での やや狭い 設定 ) マイコンプログラミング演習 I レポート 1 実験日 提出日 回路構築 /LED を用いた I/O 制御 [16pt] 班員と担当責任者第 0 班レポート作成

余白 : 上下 25.4mm, 左右 19mm (Word2012 での やや狭い 設定 ) マイコンプログラミング演習 I レポート 1 実験日 提出日 回路構築 /LED を用いた I/O 制御 [16pt] 班員と担当責任者第 0 班レポート作成 レポートを書く上での心得 実験レポートは, この実験を何も知らない人がこのレポート通りに実験を行って, ほぼ同じ結果が出せる程度の完成度 ( 実験の再現性 ) が求められる. そのためには教科書やスライドを丸写しするのではなく, 自分で内容を理解し, 自分の言葉で書く必要がある. また, 学術分野などで多少の違いはあるが, 一般的なレポートおよびレジュメ等の書き方としての大まかなルールを以下に示す.

More information

Arduino UNO IS Report No. Report Medical Information System Laboratory

Arduino UNO IS Report No. Report Medical Information System Laboratory Arduino UNO 2015 2 25 IS Report No. Report Medical Information System Laboratory Abstract ( ) Arduino / Arduino Bluetooth Bluetooth : Arduino Arduino UNO Arduino IDE micro computer LED 1............................

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

2

2 WV-CW960 2 3 4 5 6 7 8 9 10 11 SW1 S TA RT RS485Setting SW2 DIP SW1 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 DIP SW2 12 13 q w q e 14 15 16 17 18 19 ** RS485 SETUP ** UNIT NUMBER SUB ADDRESS BAUD RATE DATA BIT PARITY

More information

PIC12C5XX日本語データシート

PIC12C5XX日本語データシート , CMOS Device EPROM RAM PIC12C508 512 x 12 25 PIC12C508A 512 x 12 25 PIC12C509 1024 x 12 41 PIC12C509A 1024 x 12 41 : < < PDIP, SOIC, Windowed Ceramic Side Brazed VDD GP5/OSC1/CLKIN GP4/OSC2 GP3/MCLR/VPP

More information

LC-24_22_19K30.indb

LC-24_22_19K30.indb L C -24K 30 L C -22K 30 L C -19K 30 http://www.sharp.co.jp/support/aquos/ 2 3 4 5 6 7 8 LC-24K30 9 10 11 12 LC-24K30 8 10 PM 11 12 9 PM 13 10 PM 14 11 15 PM 16 0 17 AM 1 3 101 103 00 00 30 50 00 00 00

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

by CASIO W61CA For Those Requiring an English/Chinese Instruction

by CASIO W61CA     For Those Requiring an English/Chinese Instruction by CASIO W61CA http://www.au.kddi.com/torisetsu/index.html http://www.au.kddi.com/manual/index.html For Those Requiring an English/Chinese Instruction Manual English/Chinese Simple Manual can be read on

More information

AutomaticTelegraphKeyProject.c

AutomaticTelegraphKeyProject.c ** * プログラム名 著作権表示 プログラム開発環境 * * Pressure Vibration Telegraph Paddle ( 感圧振動パドル ) * * Author JH1IFZ K.A.Yoshida PressElecKeyProgを元に変更開始 2017.04.29- * * mikroc Ver. 6.0.0 * * wrighter pickit2 App Ver.2.61

More information

DB0

DB0 IRQ CS# A0 RD# WR# DB0- CPU I/F FIFO/RAM 88 Timing Control Key In Control Scan Counter SHIFT CNTl/STB RL0-# SL0- BD# RESET CLK Display RAM 8 Display Drive OUTB0- OUTA0- RL# RL# RL# RL# RESET RD# WR# GND

More information

2

2 REVISION 2.85(6).I 2 3 4 5 8 24 32 37 83 87 88 88 89 90 1 91 1 6 7 8 KDC200 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM

More information

2

2 WJ-HD150 Digital Disk Recorder WJ-HD150 2 3 q w e r t y u 4 5 6 7 8 9 10 11 12 13 14 15 16 q w SIGNAL GND AC IN 17 SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY FRIDAY SATURDAY DAILY Program 1 Event No.1 Event

More information

REVISION 2.85(6).I 1

REVISION 2.85(6).I 1 REVISION 2.85(6).I 1 2 3 4 5 6 7 8 KDC300 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM 1枚 KTSync - XP, Vista,Windows7,

More information

2

2 WV-CW970 2 3 4 5 6 7 8 9 10 11 12 DIP SW1 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 DIP SW2 SW1 S TA RT RS485Setting SW2 13 14 q w q e 15 16 17 18 19 20 ** RS485 SETUP ** UNIT NUMBER SUB ADDRESS BAUD RATE DATA BIT

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

thesis.dvi

thesis.dvi H8 e041220 2009 2 Copyright c 2009 by Kentarou Nagashima c 2009 Kentarou Nagashima All rights reserved , H8.,,,..,.,., AKI-H8/3052LAN. OS. OS H8 Write Turbo. H8 C, Cygwin.,., windows. UDP., (TA7279P).,.

More information

o4hd200j.pdf

o4hd200j.pdf WJ-HD200 1 2 3 4 5 6 7 8 Digital Disk Recorder WJ-HD200 2 3 4 5 6 O O O O O O 7 O 8 9 10 11 12 13 q w 14 15 SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY FRIDAY SATURDAY DAILY Program 1 Event No.1 Event No.2

More information

PDW-75MD

PDW-75MD 3-270-633-02(1) PDW-75MD 2007 Sony Corporation m a b c 2 ... 2 6 6... 8... 8 1... 10... 10... 12... 13... 13... 19... 23 2... 25... 26... 27... 27... 28... 29... 29... 29... 30... 31... 33 3... 34... 34...

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

Microsoft Word - マイコンを用いた信号# doc

Microsoft Word - マイコンを用いた信号# doc 1. C 2. 2.1 1980 Z80 PIC AVR SuperH H8 PICPeripheral Interface Controller 20MHz AD RA2 RA3 RA4 CLR VSS RB0 RB1 RB2 RB3 1 2 3 4 5 6 7 8 9 PIC16F84A-20P 0315025 18 RA1 17 RA0 16 CLK1 15 CLK2 14 VDD 13 RB7

More information

NAND FF,,

NAND FF,, 1. 1.1. NAND FF,, 1.2. 2. 1 3. アドレス ( 番地 ) 0 99 1 3 2 4 3 20 4 2 5 20 4. 8bit(0255) 7(3+4) 16 8 命令表 (0~255) コード内容 ( 機械語 ) ( 次の番地の内容 )+( 次の次の番地の内 99 容 ) の結果を次の次の次に書いてある番地に格納 2STOP A0A7, A8A15 D0D7 2 4.2.

More information

...5...6...7...8...9...10...12...12...12...18...21...23...23...23...24...24...24...24...25...26...26...26...27...33...33...33...33...33...34...35...36

...5...6...7...8...9...10...12...12...12...18...21...23...23...23...24...24...24...24...25...26...26...26...27...33...33...33...33...33...34...35...36 REVISION 2.85(6).H ...5...6...7...8...9...10...12...12...12...18...21...23...23...23...24...24...24...24...25...26...26...26...27...33...33...33...33...33...34...35...36...36...36...37...38...39 2 ...39...42...42...42...43...43...44...45...46...46...47...48...48...49...50...51...52...53...55...56...56...58...60...62...64...66...68...68...69...71...71...71...71...72...72...73...74...74...74...74

More information

OAKS16-BoardKit

OAKS16-BoardKit CDROM OAKS16 CDROM TOOLS DOC OPTION OAKS16-M30620FCAFP RS232C OAKS16-M30620FCAFP KD30 NC30WA OAKS16-M30620FCAFP OAKS16-M30620FCAFP OAKS16-EXBOARD OAKS16-EXBOARD RS232C 次の画面が表示されます FlashStart 00000h

More information

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB)

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB) コンピュータ工学講義プリント (12 月 11 日 ) 今回は ローテイト命令を用いて 前回よりも高度な LED の制御を行う 光が流れるプログラム 片道バージョン( 教科書 P.119 参照 ) 0.5 秒ごとに 教科書 P.119 の図 5.23 の様に LED の点灯パターンが変化するプログラムを作成する事を考える この様にすれば 光っている点が 徐々に右に動いているように見え 右端まで移動したら

More information

Taro11-表紙と目次.PDF

Taro11-表紙と目次.PDF 2 1 2 2 3 4 6 1 6 2 7 10 PIC 11 PIC16F84A 14 1 IC 14 2 PIC16F84A 14 3 PIC 16 4 PIC 23 26 PIC 31 33 35 38 38 39 1 PICLite 39 2 my.h 41 43 1 43 2 44 3 45 4 PIC 46 5 PIC 48 49 1 LED 49 2 LED 53 3 LED 55 4

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

42PHD60123_ p65

42PHD60123_ p65 R - STANDBY G POWER INPUT VOL ENTER N SURROUND VOL PLASMA DISPLAY INPUT SOUND SET UP MULTI ZOOM PIP SWAP SELECT MOVE POS. /SIZE PC R ASPECT TIMER 1234 TH-42PHD6 1234567 1234567 TH-5PHD6 1234567 1234567

More information

2

2 WV-CS570 2 3 4 5 6 7 8 9 10 11 12 13 q w q e 14 1 2 15 3 4 5 16 6 7 8 9 17 1 2 3 18 19 1 2 * RS485 SET UP * UNIT NUMBER SUB ADDRESS BAUD RATE DATA BIT PARITY CHECK STOP BIT X/X WAIT TIME ALARM DATA DELAY

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

L C -6D Z3 L C -0D Z3 3 4 5 6 7 8 9 10 11 1 13 14 15 16 17 OIL CLINIC BAR 18 19 POWER TIMER SENSOR 0 3 1 3 1 POWER TIMER SENSOR 3 4 1 POWER TIMER SENSOR 5 11 00 6 7 1 3 4 5 8 9 30 1 3 31 1 3 1 011 1

More information

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co 072 DB Magazine 2007 September ~~~~~~~~~~~~~~~~~~ wait Call CPU time 1,055 34.7 latch: library cache 7,278 750 103 24.7 latch: library cache lock 4,194 465 111 15.3 job scheduler coordinator slave wait

More information

NJW4108 IC ( ) NJW4108 1cell/2cell IC NJW4108V / Bi-CMOS NJW4108V : SSOP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 CNT 3 18 CS2 GND 4 17 VS NC 5 16 VREF F-CHG 6

NJW4108 IC ( ) NJW4108 1cell/2cell IC NJW4108V / Bi-CMOS NJW4108V : SSOP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 CNT 3 18 CS2 GND 4 17 VS NC 5 16 VREF F-CHG 6 IC ( ) 1cell/2cell IC V / Bi-CMOS V : SSOP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 CNT 3 18 CS2 GND 4 17 VS NC 5 16 VREF F-CHG 6 15 V + 7 14 TDET 8 13 TH C1 9 12 TL C2 10 11 V - 1 - (Ta=25 C) V + +15 V C1 V C1

More information

RT300i/RT140x/RT105i 取扱説明書

RT300i/RT140x/RT105i 取扱説明書 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Typewriter face RT105i RT300i RT140p RT140f RT140i RT140e RT105i RT300i 11 RARP 9600 bit/s 8 http://www.rtpro.yamaha.co.jp/ ftp.rtpro.yamaha.co.jp 12

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

(1) BLUETOOTH HT-CT660

(1) BLUETOOTH HT-CT660 4-452-002-04(1) BLUETOOTH HT-CT660 3 5 6 8 1 1 2 100V 3 4 AV SA-CT660 22 cm 5 2.4 GHz 1. 2. 3. 6 2.4 GHz 10 m 2.4 GHz FH-SS 10 m AAC D *2 5,956,674 5,974,380 6,226,616 6,487,535 7,212,872 7,333,929 7,392,195

More information

3 2 q w e r t y

3 2 q w e r t y Panasonic System Networks Co., Ltd. 2010 WV-CL930,WV-CL934 WV-LZ80/2 TV LENS 6~12mm 1:1.4 WV-CL930,WV-CL934 3 2 q w e r t y 4 q w e r t y u i o!0!1!2!3!4!5 5 7 6 9 8 11 10 13 12 AC 24V IN DC 12V IN GEN-LOCK

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

1 138

1 138 5 1 2 3 4 5 6 7 8 1 138 BIOS Setup Utility MainAdvancedSecurityPowerExit Setup Warning Item Specific Help Setting items on this menu to incorrect values may cause your system to malfunction. Select 'Yes'

More information

スライド 1

スライド 1 2. 転送命令を学ぼう 2004 年 8 月に本講義ノートを Web にアップして以来, とても多くの方の訪問を受けてきました. 内容が一部古くなっていたので,2012 年 5 月時点の情報に書き改めました. 主な変更点は以下の通りです. 第 0 章に本講座の準備のための章を設け, 以下の更新をしました. 1. プログラム開発環境 (MPLAB IDE) を v8.84 に更新しました. 2012

More information

Engine Control <D-FH> (T) (T) 0 EDU 0 EFI MIN EDU elay EFI MIN elay 7 0 EFI N. 0 EFI N. - -

Engine Control <D-FH> (T) (T) 0 EDU 0 EFI MIN EDU elay EFI MIN elay 7 0 EFI N. 0 EFI N. - - Engine Control (T) (T) 0 0 /F low lug elay /F elay low lug 7 0 (), () Junction Connector 0 - - Engine Control (T) (T) 0 EDU 0 EFI MIN EDU elay EFI MIN elay 7 0 EFI N. 0 EFI N. - - Engine

More information

EQUIUM EQUIUM S5010 1

EQUIUM EQUIUM S5010 1 EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 4 1 2 5 1 2 6 1 7 1 2 3 4 5 6 7 1 2 3 4 5 6 3 7 8 9 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 16 1 1 2 3 17 1 2 3 18 4 5 6 1 19 1 2 3

More information