Microsoft PowerPoint - 3.2組み合わせ回路BL.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - 3.2組み合わせ回路BL.pptx"

Transcription

1 3.2 組み合わせ回路 マイクロプロセッサへの適用例

2 3.2.1 加減算器 2

3 加算器 (Ripple Carry Adder: RCA) FA の真理値表 A B Cin Cout S A+B+Cin 3

4 FA(Full Adder) 真理値表 論理回路 A B Cin Cout S A + B B CI 1 0 A + B B 1 0 A + B If (A xor B) Cout = Cin; else Cout = B; A+B+Cin = 奇数 4

5 減算器 加算器を用いた減算 F A B A ( B) 減算器 bit 補数表現の例 2 の補数 1. ビット反転 2. LSB に 1 を加える ( キャリーに 1 入力 ) 5

6 加減算器 ( オペコード ) I F 0 A + B 1 A - B 6

7 加減算器の応用例 SUN SPARC A31 B31 Full Adder Cout F31 A30 B30 Full Adder F30 入出力レジスタ データ RAM 乗算器 データ ROM A1 B1 A0 B0 Full Adder Full Adder F1 F0 B ( 参考 ) 減算命令 I0 1 I1 0 I2 0 Cin 1 ALU( 算術論理演算ユニット ) ALU 累算レジスタ I0 I1 I2 Cin 32bit ALU A SEL MUX 7 Z

8 3.2.2 デコーダ 8

9 デコーダ Decoder I1 I0 D3 D2 D1 I={I1, I0} に対応する D={D3, D2, D1, } の要素を 1 つ選んで 1 を出力 ( 一般にバイナリコードから他のコード体系に変換するものはデコーダと呼ばれる 例 :7 セグメント デコーダなど ) Truth table I1 I0 Line D3 D2 D D D D 加法標準形 I1 I0 D1 I1 I0 D2 I1 I0 D3 I1I0 9

10 デコーダ回路 I1 I0 I1 I0 D1 I1 I0 D2 I1 I0 D3 I1 I0 D1 D2 D3 10

11 行デコーダデコーダの応用例 ( アドレス デコーダ ) Cache Memory A 0 A 1 メモリセルアレイ メモリセル デコーダ A1 A0 列デコーダ A 2 A 3 Wi SRAM メモリセル D1 D2 Di Di Intel Mobile Pentium III D3 11

12 3.2.3 シフタ 12

13 シフタの役割 シフト機能 定数倍 ( シフト + 加算 ) 浮動小数点演算などに使用 定数倍の例 : a * b = a * (b n 2 n + b n-1 2 n b ) = b n (a<<n) + b n-1 (a<<n-1) + + b 0 a 回路方式 バレルシフタ (Barrel Shifter) 次ページ 対数シフタ (Logarithmic Shifter) ( 左シフトと加算に変換 ) その他の基本演算 ( 加算 乗算 比較 除算 Modulo など ) の演算アルゴリズム及び回路実装については 集積回路工学第 2 で扱う 13

14 バレルシフタ 右シフト ( 桁下げ ) の真理値表 Sh3 Sh2 Sh1 Sh0 B3 B2 B1 B A3 A2 A1 A A3 A3 A2 A A3 A3 A3 A A3 A3 A3 A3 A3 A2 A1 n-ch 側のスイッチ マトリクス B3 B2 B1 青色は符号拡張 (sign-bit extension) A0 B0 Buffer I1 I0 DECODER CMOS スイッチ 1 段 + インバータ 2 段 14

15 ( 参考 )2 の補数による符号拡張 円の内側 :10 進数円の外側 :2 進数 (2 の補数表現 ) 拡張された 6bit 補数表現 ( 負 ) 3bit 補数表現拡張された 6bit 補数表現 ( 正 ) 最上位ビットの値を上位に必要ビット数だけ追加すればよい 15

16 3.2.4 エンコーダ 16

17 エンコーダ Encoder D3 D2 D1 Truth table P1 P0 D3 D2 D1 P1 P (0 0 ) DC DC DC DC DC DC ~D3 のうち論理値が 1 となったラインに対応するバイナリコードを出力する 但し 2 つ以上のラインが値 1 のとき に近いほうを優先する ( 一般に任意のコード体系からバイナリコードに変換するものはエンコーダと呼ばれる ) 17

18 18 エンコーダの実装例 D2 D1 D2) (D1 ) ( D2) (D1 D2) D1 (1 D2) (D1 D2 D1 P0 D1 D1 ) ( D1 D1 D1 D1) (1 D1 P1 ( 参考 ) ブール代数では 1 の AND と 0 の OR によって論理値が変化しないことを利用 D3 D2 D1 P0 P1 不要

19 エンコーダの応用例 フラッシュ型 ADC R/2 +Vref 0.125V Comparator Encoder Latch Lecroy 10GS/s ADC Vref = 1V Vin = 0V の場合 Vin 0.25V 0.25V 0.25V 0.25V R R R R Binary Data Vin (V) Thermometer Code Binary Code ~ (1) ~ ~ ~ ~ ~ ~ Encode ~ ~ V 0.25V 0.25V R R R R/2 -Vref V ゼロの数で値を表現 SYS_CLK Clocking Circuit 19

20 回路シミュレーションの準備 (1) 1. LTspice のインストール 電子回路第 2 及び演習の受講者は 既にインストール済み 電子回路第 2 及び演習を取っていない人は 下記のサイトでダウンロードして LTspiceをインストールしよう ( 初期設定 ) より 公開作業日誌 2. MOSFET モデルパラメータのインストール で自分用のMOSFET モデルパラメータを生成し ダウンロードする ファイル名が cmos***.lib となってることを確認する (*** は名列番号 ) モデルパラメータファイルは LTspiceの回路図ファイル ( 拡張子.asc) の保存先か C: Users ( ユーザ名 ) Documents LTspiceXVII lib cmp にコピーする 20

21 回路シミュレーションの準備 (2) 3. MOSFET シンボルのインストール より 集積回路工学第 1の案内ページに入り mosfet_symbols.zip をダウンロードする 解凍したフォルダには N_1u.asy, P_1u.asy, N_50n.asy, P_50n.asyの4 つのファイルが含まれている 解凍したフォルダ内の4 個のファイルを C: Users ( ユーザ名 ) Documents LTspiceXVII lib sym/ にコピーしておく 保存したシンボルは Component( その他の部品配置 ) ボタンにより Select Component Symbolフォームで選ぶことができる ドレインとソースの位置に注意 21

22 ( 重要 ) 市販半導体部品モデルと の違い 市販の半導体部品と集積回路の部品ではデバイスパラメータの設定方法や目的が大きく異なることに注意 市販半導体のデバイスモデル モデル名を指定するとパラメータ値が全て代入される 全ての同じ型番の半導体素子に 同じパラメータ値が用いられる 一度パラメータ値を決定すれば変える必要がない通常は 半導体メーカが提供する 集積回路のデバイスモデル モデル名を指定しても いくつかのパラメータは代入されない 各半導体素子毎に 異なるパラメータ値を設定できる 必要とする回路特性となるように 回路設計毎に パラメータ値を調整するただし 変更できるのは レイアウトに関係するパラメータのみ 22

23 演習 3.2 全加算器 (FA) を設計し 回路シミュレータの過渡応答解析により論理機能の検証を行え (1) 回路図 (2) シミュレーション結果のグラフ (3) ネットリスト ( スライド 30 参照 ) を添付すること ( 参考 ) 論理機能の検証は 過渡応答解析の結果と真理値表を比較することにより行う あまり高速に動作させると回路が誤動作する可能性があるので 綺麗な矩形波出力となる程度のパルス幅で入力波形 ( 入力ベクトル ) を作成する 23

24 演習 3.2 の解説 1 FA には 種々の構成法があるが ここでは マンチェスタ型と呼ばれる回路についてシミュレーションを行うことにする ( 算術演算アルゴリズムの詳細は 集積回路工学第 2 で扱う ) 真理値表 論理回路 A B CI S CO A + B B A + B CI A + B B スイッチは インバータ + トライステートインバータで構成 24

25 演習 3.2 の解説 3 階層的な回路設計を行う手順について解説する まず FAを構成する論理ゲートである インバータ EXOR, クロックトインバータを作成する 各回路を作成したら FAの保存先と同じフォルダに置くこと MOSFETサイズ INV 右クリック 右クリック Param. M1 M2 Model N_1u P_1u L 1u 1u W 5u 15u AD 15p 45p AS 15p 45p PD 11u 21u PS 11u 21u M 1 1 パラメータ値の決定法は 4.4 節で解説する 25

26 演習 3.2 の解説 4 EXOR MOSFETサイズ param. M1 M2 M3 M4 Model N_1u P_1u N_1u P_1u L 1u 1u 1u 1u W 5u 15u 5u 15u AD 15p 45p 15p 45p AS 15p 45p 15p 45p PD 11u 21u 11u 21u PS 11u 21u 11u 21u M

27 演習 3.2 の解説 5 CLKINV MOSFETサイズ param. M1,M2 M3, M4 Model N_1u P_1u L 1u 1u W 5u 15u AD 15p 45p AS 15p 45p PD 11u 21u PS 11u 21u M

28 演習 3.2 の解説 6 各論理ゲートのシンボルを作成する A A Z Z B A F Z 1. メニュー : [File] - [New Symbol] でシンボルエディタを起動 2. メニュー : [Draw] - [Line] 等でシンボルを描く 綺麗に書くのは面倒なので 四角のシンボル形状にし [Draw] - [Text] で 何の回路か分かるように回路名を書いておいてもよい 3. メニュー : [Edit] - [Add Pin/Port] でピンを作成 Schematic( 回路図 ) のポート名と一致させること 4. メニュー : [File] - [Save As ] でファイル名を付けて保存 シンボルファイル名は Schematicのファイル名.asy とすること 回路図ファイルと同じフォルダに保存すること 28 FB

29 演習 3.2 の解説 7 FA の回路図を作成する MOSFETのパラメータファイルグローバル配線の宣言 過渡応答解析 cmos***.lib (*** は名列番号 ) を使用 作成済みの回路は 通常の部品呼び出しと同じく Select Component Symbol フォームで選択する ただし Top Directory を回路とシンボルの保存先に切り替えること 29

30 演習 3.2 の解説 8 回路図の貼り付け方法 回路図のウインドウを選択し メニューよりTools > Copy bitmap to Clipboard レポートを作成しているアプリケーション上で貼り付け グラフの貼り付け方法 シミュレーションを実行し グラフを表示させる グラフのウインドウを選択し メニューよりTools > Copy bitmap to Clipboard レポートを作成しているアプリケーション上で貼り付け ネットリストの出力の方法 回路図のウインドウを選択し メニューよりView > SPICE Netlist Netlistが表示されたウインドウを右クリックし Generate Expanded Listing を選び 適当なフォルダに保存する ( 拡張子.sp) 30

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の 付録 A. OP アンプ内部回路の subckt 化について [ 目的 ] 実験で使用した LM741 の内部回路を subckt 化して使用する [ 手順と結果 ] LTspice には sample として LM741 の内部回路がある この内部回路は LM741.pdf[1] を参照している 参考サイト : [1]http://www.ti.com/lit/ds/symlink/lm741.pdf

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します 7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します http://groups.yahoo.com/neo/groups/ltspice/files/%20lib/digital%2074hcxxx (( 注意

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

スライド 1

スライド 1 パワーインダクタ および高誘電率系チップ積層セラミックコンデンサの動的モデルについて 1 v1.01 2015/6 24 August 2015 パワーインダクタの動的モデルについて 2 24 August 2015 24 August 2015 動的モデルの必要性 Q. なぜ動的モデルが必要なのか? A. 静的モデルでは リアルタイムに変化するインダクタンスを反映したシミュレーション結果が得られないから

More information

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt ( 第 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など ( 論理回路 Ⅰ) の期末試験 実施日 : 8/5( 金 ) : @ 教室 ( 定規 OK, 参照ダメ ) 成績評価 : 中間 5%, 期末 5% ( 出席率 8% 以上の学生が評価対象

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft PowerPoint - 4.1I-V特性.pptx

Microsoft PowerPoint - 4.1I-V特性.pptx 4.1 I-V 特性 MOSFET 特性とモデル 1 物理レベルの設計 第 3 章までに システム~ トランジスタレベルまでの設計の概要を学んだが 製造するためには さらに物理的パラメータ ( 寸法など ) が必要 物理的パラメータの決定には トランジスタの特性を理解する必要がある ゲート内の配線の太さ = 最小加工寸法 物理的パラメータの例 電源配線の太さ = 電源ラインに接続されるゲート数 (

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 10 July 2018 目次 1. 本マニュアルについて 2.( 前準備 ) ライブラリの解凍と保存 3. プロジェクトの作成 4. シミュレーションプロファイルの作成 5.LIBファイルの登録 6.OLBファイルの登録 7. コンデンサのインピーダンス計算例

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

NS-Draw Ver

NS-Draw Ver 第 2 章インストール 本章では コンピュータへの NS-Draw のインストール方法について解説します 次の STEP1~3の順番で説明を進めていきます 2.1 インストール 2.2 ライセンスの確認 2.3 回路シミュレーションの実行までの確認 2.1 インストールインストーラのメニューに従って インストール作業を行ってください インストール先を c:\program Files にすると Windows

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を Agilent ADS で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B003_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library に含まれるモデルは標準的な

More information

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2 SIMetrix/SIMPLIS ライブラリ ユーザーマニュアル 2018 年 8 月 株式会社村田製作所 Ver1.0 1 22 August 2018 目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

Microsoft PowerPoint - 2.1MOSFETの特性.ppt [互換モード]

Microsoft PowerPoint - 2.1MOSFETの特性.ppt [互換モード] 2.1 MOSFET の特性 教科書 2.1 節 ~2.5 節 教科書には詳細な特性パラメータの式が示されていて複雑だが ディジタル回路設計では 本プリントの内容を理解していれば問題はない 2.1.1 PN 接合と内部電界 不純物による電気伝導の制御 (1) III IV V B C N Al Si P ドープ (Dope): 不純物を混ぜること 電子 ( 青色 ) Ga In Ge Sn As Sb

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D> 第 4 回情報処理技術講義 コンピュータ計算の基本概念 ( 論理ハードウェア ) 60 これはなんだと思いますか? 携帯電話の開発ボードだそうです 61 ソフト開発をする人でも, ハードウェア知識は必要不可欠である コンピュータの最も基本的要素は論理電子回路であるその中でも以下の3 素子が基本となる (AN, ORは組合して作れる ) NOT NAN NOR 注意 :MOS トランジスタによる実現

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

Microsoft PowerPoint - qcomp.ppt [互換モード]

Microsoft PowerPoint - qcomp.ppt [互換モード] 量子計算基礎 東京工業大学 河内亮周 概要 計算って何? 数理科学的に 計算 を扱うには 量子力学を計算に使おう! 量子情報とは? 量子情報に対する演算 = 量子計算 一般的な量子回路の構成方法 計算って何? 計算とは? 計算 = 入力情報から出力情報への変換 入力 計算機構 ( デジタルコンピュータ,etc ) 出力 計算とは? 計算 = 入力情報から出力情報への変換 この関数はどれくらい計算が大変か??

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 4 回目演算子 今日の講義で学ぶ内容 演算子とオペランド 式 様々な演算子 代表的な演算子の使用例 演算子とオペランド 演算子 演算の種類です例えば + - * / 掛け算の記号は ではなく *( アスタリスク ) を使います割り算の記号は ではなく /( スラッシュ ) を使います オペランド 演算の対象です例えば 5( 値 ) num( 変数 ) 式 演算子とオペランドの組み合わせにより構成される数式です式は演算結果をもちます

More information

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用 アナログ回路 I 参考資料 2014.04.27 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用いて再現することである 従って LTspice の使用方法などの詳細は 各自で調査する必要があります

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 03 変数と式 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 3.1 変数と型 変数とは p.60 C 言語のプログラム中で, 入力あるいは計算された数や文字を保持するには, 変数を使用する. 名前がついていて値を入れられる箱, というイメージ. 変数定義 : 変数は変数定義 ( 宣言 ) してからでないと使うことはできない. 代入 : 変数には値を代入できる.

More information

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R 第 回,, で構成される回路その + SPIE 演習 目標 : SPIE シミュレーションを使ってみる 回路の特性 と の両方を含む回路 共振回路 今回は講義中に SPIE シミュレーションの演習を併せて行う これまでの, 回路に加え, と を組み合わせた回路, と の両方を含む回路について, 周波数応答の式を導出し, シミュレーションにより動作を確認する 直列回路 演習問題 [] インダクタと抵抗による

More information

RsMulti の取扱説明 「RS232C で直接接続する(LAN を使用しない場合)」

RsMulti の取扱説明 「RS232C で直接接続する(LAN を使用しない場合)」 RsMulti の取扱説明 RS232C で直接接続する (LAN を使用しない場合 ) 1. 概要 2.RsMulti の特徴 3. 測定の準備 3-1.RS232C 接続の場合 (1) 必要なもの (2)RS232C 接続の設定 (3)RsMulti の設定 4.RsMulti でのデータ収集 5.RsMulti 各部の説明 (1)RsMulti 画面 (2)Config 画面 (3)Property

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

スライド 1

スライド 1 3. 演算命令を学ぼう 本稿の Web ページ http://www.mybook-pub-site.sakura.ne.jp/pic/index.html 1 ; ADD このソースファイルを各自打ち込んで下さい. EQU 0x0C ; at 0C 足し算を実行するプログラムの例です. MOVLW B 00000001 ; Load 0x01 to W ADDLW B'00000011' ; W

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Microsoft Word - no02.doc

Microsoft Word - no02.doc 使い方 1ソースプログラムの入力今回の講義では C++ 言語用の統合環境ソフトといわれるプログラムを利用します デスクトップにある CPad for C++ のアイコン ( 右参照 ) をダブルクリ ックしましょう ( 同じアイコンで Java_pad とかい エディタ部 てあるものもありますので気をつけてください ) これで 起 動します 統合環境を立ち上げると エディタ部とメッセージ部をもった画面が出てきます

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 6 週演算アーキテクチャ ( 続き ) ノイマン型コンピュータ 命令とは 命令の使い方 2013 年 10 月 30 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

一般電気工学第二

一般電気工学第二 1 電子情報工学基礎 第 1 回 コンピュータの歴史と アーキテクチャの基礎 2 コンピュータとは Compute: 計算する Computer: 電子計算機 ( 元々は計算をする人を指す ) 紀元前 3000 年頃古代バビロニアで数字が誕生, 十進法が定められる. 3 計算する機械 算盤,Abacus 1617 年にネピアによる対数の理論, 計算尺の原型の発明 歯車式のパスカリーヌ計算機 ライプニッツの横型ドラム方式の回転計算機

More information

Microsoft Word - LTSpice入門_V104.doc

Microsoft Word - LTSpice入門_V104.doc LTSpice/SwCADⅢ 入門 Copyright by Kimio Kosaka 2008.11.11 ( Ver 1.04 ) LTSpice/SwCADⅢはリニアテクノロジー社が提供している無料の回路シミュレータである ここでは, 一石トランジスタアンプのシミュレートを例に LTspice/SwCADⅢの基本操作を習得する 1. 起動 SwCADⅢ のアイコンをダブルクリックし起動させる

More information

PowerPoint Presentation

PowerPoint Presentation Library for Keysight ADS (for 2011 and later) ユーザーマニュアル 1 28 September 2018 0. 目次 1. 本マニュアルについて 2. 動作環境 3. インストール方法 4. 使用法 5. お問い合わせ先 2 1. 本マニュアルについて 本マニュアルは 株式会社村田製作所 ( 以下 当社 ) 製品のパラメータを Keysight 社 ADS2011

More information

エンドポイント濁度測定装置 LT-16 取扱説明書

エンドポイント濁度測定装置 LT-16 取扱説明書 エンドポイント濁度測定装置 LT-16 LT-16 Manager マニュアル ( 簡易マニュアル Version 2.0) LT-16 Manager のインストール LT-16 Manager は添付の CD に内蔵されています LT-16 Manager は Windows 7 Windows 8 において動作確認をしております ( 以下の図は Windows 8 使用時の表示図面です ) ただし

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

AI1608AYUSB手順V3

AI1608AYUSB手順V3 CONTEC A/D 変換ユニット AI-1608AY-USB のインストール手順 2013/03 改訂 1. ドライバのインストール 最初に ドライバをインストールします ドライバは インターネットからダウンロードします 1 以下のサイトから ダウンロードします キーワードに [CONTEC WDM API-AIO] などを指定して探して下さい URL http://www.contec.co.jp/product/device/apiusbp/index.html

More information

Maser - User Operation Manual

Maser - User Operation Manual Maser 3 Cell Innovation User Operation Manual 2013.4.1 1 目次 1. はじめに... 3 1.1. 推奨動作環境... 3 2. データの登録... 4 2.1. プロジェクトの作成... 4 2.2. Projectへのデータのアップロード... 8 2.2.1. HTTPSでのアップロード... 8 2.2.2. SFTPでのアップロード...

More information

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS スプリット演算器 MFS2 用コンフィギュレータソフトウェア MFS2CFG バージョン 0.02 取扱説明書 1/10 NM-9307 改 2 1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください

More information

プログラミング入門1

プログラミング入門1 プログラミング入門 1 第 5 回 繰り返し (while ループ ) 授業開始前に ログオン後 不要なファイルを削除し て待機してください Java 1 第 5 回 2 参考書について 参考書は自分にあったものをぜひ手元において自習してください 授業の WEB 教材は勉強の入り口へみなさんを案内するのが目的でつくられている これで十分という訳ではない 第 1 回に紹介した本以外にも良書がたくさんある

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

Microsoft PowerPoint - 11.ppt

Microsoft PowerPoint - 11.ppt 多段論理合成 ( 前半概要 ) 第 章多段論理合成 年 月改訂 論理合成システム 積項を用いたファクタリング TVF 論理式の割り算 関数分解 回路の変換 //5 多段論理合成 //5 多段論理合成 LSI の設計システム 論理合成システム Loic Sntesis Sstem 半導体技術に独立 半導体技術に依存 動作記術機能記術 ネットリスト ネットリスト レイアウト 動作記述言語, 機能記述言語論理式,

More information

Rational Roseモデルの移行 マニュアル

Rational Roseモデルの移行 マニュアル Model conversion from Rational Rose by SparxSystems Japan Rational Rose モデルの移行マニュアル (2012/1/12 最終更新 ) 1. はじめに このガイドでは 既に Rational( 現 IBM) Rose ( 以下 Rose と表記します ) で作成された UML モデルを Enterprise Architect で利用するための作業ガイドです

More information

PowerPoint Presentation

PowerPoint Presentation OPROARTS Connector for Salesforce ユーザーガイド はじめに 本ドキュメントはサンプルテンプレートを使用し 帳票作成からボタン作成までの流れを解説します セットアップは OPROARTS Connector for Salesforce セットアップガイドを参照ください 帳票デザインについてはデザイナーガイドを参照ください 1997-2019 OPRO Co., Ltd.

More information

コンピュータ中級B ~Javaプログラミング~ 第3回 コンピュータと情報をやりとりするには?

コンピュータ中級B ~Javaプログラミング~  第3回 コンピュータと情報をやりとりするには? Copyright (C) Junko Shirogane, Tokyo Woman's Christian University 2012, All rights reserved. 1 コンピュータ サイエンス 2 第 7 回ソフトウェア 人間科学科コミュニケーション専攻 白銀純子 Copyright (C) Junko Shirogane, Tokyo Woman's Christian University

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

i コロナ社 AND OR NOT SRAM IC

i コロナ社 AND OR NOT SRAM IC i ANDORNOT SRAM IC ii SRAM PIC 1 1. 1 ディジタルコード 1 1. 2 自然数と正の有理数の 2 進コード 3 1.2.1 アラビア数字による自然数の各種コード 3 1.2.2 正の有理数の 2 進コード 5 1.2.3 自然数の各種コードの基数変換 6 1. 3 ビット 8 1. 4 エンコーダデコーダ 13 2 2. 1 文字 数字 その他の記号のディジタルコード

More information

電子13-06 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00設定方法.ppt

電子13-06 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00設定方法.ppt 技術資料 お客様用 1/13 発行 電子 13-06 発行月 2013 年 10 月 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00 設定方法 1 PC アプリ SAVER CAST for EneMeter Ver3.00 ダウンロード 2 通信設定アプリ Config Tool Ver1.00 ダウンロード 3 パソコンへのセットアップ 4 設定方法について説明します

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

Microsoft PowerPoint - 2-4_matsunaga

Microsoft PowerPoint - 2-4_matsunaga ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

メソッドのまとめ

メソッドのまとめ メソッド (4) 擬似コードテスト技法 http://java.cis.k.hosei.ac.jp/ 授業の前に自己点検以下のことがらを友達に説明できますか? メソッドの宣言とは 起動とは何ですか メソッドの宣言はどのように書きますか メソッドの宣言はどこに置きますか メソッドの起動はどのようにしますか メソッドの仮引数 実引数 戻り値とは何ですか メソッドの起動にあたって実引数はどのようにして仮引数に渡されますか

More information

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h]) TINA 操作チュートリアル プリント配線基板の (PCB) 作成 ilink アイリンク合同会社 231-0023 横浜市中区山下町 256 ヴィルヌーブ横浜関内 1F111 TEL:045-663-5940 FAX:045-663-5945 ilink_sales@ilink.co.jp http://www.ilink.co.jp 1 プリント配線基板の (PCB) 作成 フットプリントの確認と変更

More information

( )

( ) ( ) Page 2 Page 3 0 = 1 = Page 4 1 0 13 = 8 + 4 + 1 = 2 3 1 + 2 2 1 + 2 1 0 + 2 0 1 = 1101 ( ) b n 1 b n 2 b 0 (b n 1,,b 0 {0,1}) = 2 n 1 b n 1 + 2 n 2 b n 2 + + 2 0 b 0 n n bit( ) Page 5 n n n {}}{{}}{

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン (2), コード生成 http://cis.k.hosei.ac.jp/~asasaki /lect/compiler/2007-1204.pdf ( 訂正版 ) 1 概要 仮想マシン 概要 ( 復習 ) 制御命令 出力命令 コード生成 式のコード生成 文 文の列のコード生成 記号表 2 演習で作るコンパイラの例 test.hcc Int main() { int i j; i = 3;

More information

C#の基本

C#の基本 C# の基本 ~ 開発環境の使い方 ~ C# とは プログラミング言語のひとつであり C C++ Java 等に並ぶ代表的な言語の一つである 容易に GUI( グラフィックやボタンとの連携ができる ) プログラミングが可能である メモリ管理等の煩雑な操作が必要なく 比較的初心者向きの言語である C# の利点 C C++ に比べて メモリ管理が必要ない GUIが作りやすい Javaに比べて コードの制限が少ない

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

ご利用のコンピュータを設定する方法 このラボの作業を行うには 事前設定された dcloud ラボを使用するか 自身のコンピュータをセットアップします 詳細については イベントの事前準備 [ 英語 ] とラボの設定 [ 英語 ] の両方のモジュールを参照してください Python を使用した Spar

ご利用のコンピュータを設定する方法 このラボの作業を行うには 事前設定された dcloud ラボを使用するか 自身のコンピュータをセットアップします 詳細については イベントの事前準備 [ 英語 ] とラボの設定 [ 英語 ] の両方のモジュールを参照してください Python を使用した Spar ご利用のコンピュータを設定する方法 このラボの作業を行うには 事前設定された dcloud ラボを使用するか 自身のコンピュータをセットアップします 詳細については イベントの事前準備 [ 英語 ] とラボの設定 [ 英語 ] の両方のモジュールを参照してください Python を使用した Spark API との通信 このラーニングモジュールでは Python を使用した Spark API とのインターフェイスを扱います

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

Taro-82ADAカ.jtd

Taro-82ADAカ.jtd デジタル & アナログ絶縁入出力ユニット解説書製品型式 8 2 A D A - K C 製品型式 8 2 A D A - B D 製品型式 D A C S - 8 2 0 0 この解説書は 8 2 A D A または D A C S - 8 2 0 0 の動作と使用方法について簡単に説明したものです D A C S - 8 2 0 0 の場合は この解説書の 8 2 A D A という表現を 一部

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

PowerPoint Presentation

PowerPoint Presentation 工学部 6 7 8 9 10 組 ( 奇数学籍番号 ) 担当 : 長谷川英之 情報処理演習 第 7 回 2010 年 11 月 18 日 1 今回のテーマ 1: ポインタ 変数に値を代入 = 記憶プログラムの記憶領域として使用されるものがメモリ ( パソコンの仕様書における 512 MB RAM などの記述はこのメモリの量 ) RAM は多数のコンデンサの集合体 : 電荷がたまっている (1)/ いない

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

アドイン版 ********************************************* インストール手順書 *********************************************

アドイン版 ********************************************* インストール手順書 ********************************************* アドイン版 ********************************************* インストール手順書 ********************************************* 目次 JAVIS Appli に必要な環境... 3 JAVIS Appli に必要な動作環境... 3 JAVIS Appli のインストール... 4 JAVIS Appli の入手する方法...

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018 工学ゼミ Ⅲ 安全 環境活動に役立つ LEDイルミネーションの製作 第 1 回 1. 概要 3~5 名の学生グループで安全 環境活動に役立つ LED イルミネーションを作製する 作品のデザイン画や部品リスト 回路図 動作フロー図等は事前に作成し 計画的に作業を行うことが求められる 2. 達成すべき目標 作品に係る資料を事前にまとめ それに基づいて製作が行える 集団の中で 自身の知識 技術を積極的に応用しながら

More information

WebARENA SuiteX V2 EC-CUBE 2.13 インストールマニュアル ( 標準 MySQL+ 非 SSL ) 作成 :2014 年 2 月 Ver.1.1

WebARENA SuiteX V2 EC-CUBE 2.13 インストールマニュアル ( 標準 MySQL+ 非 SSL ) 作成 :2014 年 2 月 Ver.1.1 WebARENA SuiteX V2 EC-CUBE 2.13 インストールマニュアル ( 標準 MySQL+ 非 SSL ) 作成 :2014 年 2 月 Ver.1.1 注意事項 EC-CUBE は株式会社ロックオンの提供するソフトウェアです ここでは株式会社ロックオンから提供されている EC-CUBE バージョン 2.13 のパッケージをご利用される前提で 基本的な設置手順を掲載しております

More information

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカプラ 750-306 を使ったリモート I/O システムとの接続に関するコンフィグレーション方法について説明いたします 2. システム構成本書で用いるシステム構成例の内容を以下の表に示します

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

Microsoft PowerPoint - 4回 [互換モード]

Microsoft PowerPoint - 4回 [互換モード] 計算機ハードウエア 2018 年度前期第 4 回 前回の話 CPU(SH7145) データバス (32 bit) コンピュータバスの構成 データバス インタフェースデータバス (16 bit) I/O (Input/ put) CPU メモリ I/O アドレスバス (22 bit) メモリ アドレスバス (22 bit) コントロールバス アドレスバス コントロールバス 割り込み信号リセット信号 コンピュータバスは

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 1.3 LTspice の基本操作 回路図入力 ~ シミュレーションの実行まで 1 1.3.1 初期設定 LTspice を使いやすくするための準備 2 初期設定 1 斜め配線を許可 ( 任意 ) 文字化けを防ぐ 3 初期設定 2 回路図とグラフを横に並べて表示 ( 任意 ) 4 カラー設定 グラフの背景が黒だと印刷時にグラフが読めない 回路図の背景を白に ( 任意 ) グラフの背景を白に ( 必須

More information

<4D F736F F F696E74202D E291AB92B B1C3DECADEB2BD2E B8CDD8AB B83685D>

<4D F736F F F696E74202D E291AB92B B1C3DECADEB2BD2E B8CDD8AB B83685D> 超電導でできること デバイス研究開発 ( コンピュータ ルータタ ) 内容 進歩してきた半導体回路の現状 なぜ超電導回路? 超電導コンピュータ ルータの研究 コンピュータの基礎 : 2 進数 普段の我々の生活 0~9 の 10 個で表現 コンピュータ内部の世界 1 と 0 の 2 個で表現 10 進数 2 進数 10 3 の位 10 2 の位 10 1 の位 10 0 の位 2 3 の位 2 2 の位

More information

Microsoft Word - tutorial3-dbreverse.docx

Microsoft Word - tutorial3-dbreverse.docx 株式会社チェンジビジョン使用バージョン :astah* 6.0, 6.1 [ ] サンプル サポート対象外 目次 DB リバースを使ってみよう ( サンプル サポート対象外 ) 2 ご利用の前に 2 予備知識 2 データベースの環境設定をしてみよう 2 astah* データベースリバースコンポーネントを使用してみよう 5 作成した asta ファイルを astah* professional で開いてみよう

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 1 前回教育用の RISC POCO を導入しました 今日はその Verilog 記述を紹介します まず この復習をやっておきましょう 2 最も重要な点は メモリの読み書きで レジスタ間接指定の理解です これはポインタと一緒なので 間違えないように修得してください 3 RISC なので 基本の演算はレジスタ同士でしかできません MV はレジスタ間のデータ移動なので気をつけてください 4 イミーディエイト命令は

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

Prog1_2nd

Prog1_2nd 2019 年 10 月 3 日 ( 木 ) 実施浮動小数点数 Java 言語で実数を扱う場合, 実用的な計算には変数のデータ型としては,double 型を用いる 浮動小数点数とは, 実数を表す方式の一つで,2 進数の場合は例えば 1.101 2 3 ( 判り易さの為にここでは 2 や 3 は 10 進数で表記 ) の様な表記法である なお, 第 1 回の教材にあった, 単精度, 倍精度という用語で,

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン () 仮想マシン 復習 仮想マシンの概要 hsm 仮想マシン プログラム言語の処理系 ( コンパイラ ) 原始プログラム (Source program) コンパイラ (Compiler) 目的プログラム (Object code) 原始言語 (Source language) 解析 合成 目的言語 (Object Language) コンパイルする / 翻訳する (to compile

More information