問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

Size: px
Start display at page:

Download "問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN"

Transcription

1 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3 downto Y : out std_logic end Logic; architecture Behavioral of Logic is signal TMP : std_logic_vector( downto TMP() <= A(3) xor A(2 TMP() <= TMP() xor A( Y <= TMP() xor A( end Behavioral; (2) この回路の入出力の真理値表を示し,(3) どのような働きをする回路か述べよ (2) (3) A(3) A(2) A() A() TMP() TMP() Y ヒント :4 ビットパターン A の中の の個数に注目 マイクロプロセッサとインタフェース講義資料 8-

2 問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN : in std_logic; R : in std_logic_vector(n- downto Q : out std_logic_vector(n- downto ) end RegN; architecture RTL of RegN is process(clk) if (CLK'event and CLK = '') then if (EN = '') then Q <= R; CLK EN R() Q() H L 2)LOAD 入力付きカウンタ use IEEE.std_logic_64.all; use IEEE.std_logic_unsigned.all; entity PC4 is port ( CLK, RESET, LOAD : in std_logic; D : in std_logic_vector(3 downto C : out std_logic_vector(3 downto ) end PC4; architecture RTL of PC4 is signal count : std_logic_vector(3 downto process(clk, RESET) if (RESET = '') then count <= (others => '' elsif (CLK'event and CLK = '') then if (LOAD = '') then count <= D; count <= count + ''; C <= count; end RTL; リセット データのロード CLK RESET LOAD D(3) D(2) D() D() C(3) C(2) C() C() 8-2 マイクロプロセッサとインタフェース講義資料

3 3) 同期イネーブル入力付きカウンタ リスト : 問 2 の VHDL コード use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic_circuit is port ( CLK : in std_logic; RST : in std_logic; EN : in std_logic; REG_EN_O: out std_logic; COUNT : out std_logic_vector(3 downto ) end Logic_circuit; architecture Behavioral of Logic_circuit is signal cnt : std_logic_vector(3 downto signal reg_en : std_logic; process(clk,rst) レジスタの記述 if(rst='') then reg_en<=''; elsif(clk'event and CLK='') then reg_en<=en; process(clk,rst) if(rst='') then cnt<=(others=>'' elsif(clk'event and CLK='') then if(reg_en='') then if(conv_integer(cnt)=8) then cnt<=(others=>'' cnt<=cnt+''; REG_EN_O<=reg_en; COUNT<=cnt; end Behavioral; CLK RST EN REG_EN_O COUNT[3] COUNT[2] COUNT[] COUNT[] タイミングチャート マイクロプロセッサとインタフェース講義資料 8-3

4 問 3. ステートマシンの応用バスの動作応答速度のばらつきが大きい入出力装置 (I/O) をバスに接続する場合,READY 信号などの確認用信号を用いて入出力のタイミングを調整する 以下に示すのは, バスに接続された I/O にアクセスするためのバスコントローラの VHDL コードである コントローラの入出力信号の仕様は Table に示すとおりである N bit N bit M bit W_DATA R_DATA ADDRESS W D_BUS A_BUS READY IO_WRITE IO_READ N bit M bit W_DATA:I/O に書き込みたいデータ [ 入力 ] R_DATA:I/O から読み出されたデータ [ 出力 ] ADDRESS : I/O のアドレス [ 入力 ] W: で I/O への書き込み, で読み出し [ 入力 ] D_BUS: データバス [ 入出力 ] A_BUS: アドレスバス [ 出力 ] READY: I/O がアクセス可能なときに になる [ 入力 ] IO_WRITE: 書き込みを指示する制御バス信号 [ 出力 ] IO_READ: 読み出しを指示する制御バス信号 [ 出力 ] CLK RESET use IEEE.std_logic_64.all; use IEEE.std_logic_unsigned.all; entity BUS_CONTROLLER is generic (N : integer := 8; M : integer := 6 port ( CLK, RESET, READY, W : in std_logic; W_DATA : input std_logic_vector(n- downto ADDRESS : input std_logic_vector(m- downto D_BUS : inout std_logic_vector(n- downto A_BUS : out std_logic_vector(m- downto R_DATA : output std_logic_vector(n- downto IO_WRITE, IO_READ : out std_logic end BUS_CONTROLLER; architecture RTL of BUS_CONTROLLER is signal count : std_logic_vector(n- downto type type_state is (Idle, Write, Read, W_wait, R_wait signal state : type_state; IO_WRITE <= W; IO_READ <= not W; A_BUS <= ADDRESS; process(clk, RESET) if (RESET = '') then state <= Idle; elsif (CLK'event and CLK = '') then case state is when Idle => if (W = '') then state <= W_wait; state <= R_wait; when W_wait => if(ready= '') state = W_wait; state <=Write; end if when R_wait => if(ready= '') state <= R_wait; state <= Read; when Write => state <= Idle; when Read => state <= Idle; when others => state<=idle; end case; D_BUS <= W_DATA when state = Write (others=> 'Z' R_DATA <= D_BUS when state = Read (others=> '' end RTL; 8-4 マイクロプロセッサとインタフェース講義資料

5 RESET= Idle W= W= W_wait R_wait マイクロプロセッサとインタフェース講義資料 8-5

6 問 4. マイクロプロッサのアーキテクチャ Fig.4 (a) は,4 ビットプロセッサのアーキテクチャの例である このプロセッサのコントローラが 出力する制御信号は 4 ビットで そのフォーマットを Fig.4 (b) に示す W/ R はメモリ制御信号, OP_MODE は ALU( 算術演算論理回路 ) の演算の種類を指定するモード,WE_A,B, 及び C はレジスタにデータ を書き込むための許可信号,WE_R_F はレジスタファイルにデータを書き込むための許可信号,SEL はマル チプレクサの選択信号,R_ADR はレジスタファイルアドレス, 及び M_ADR はメモリアドレスを示す また, リスト 2 はアーキテクチャ内で用いられる ALU の VHDL 記述である デコーダ & ステートマシン 命令 データメモリアドレス M_ADR W/R WE_A WE_B WE_C WE WE R_A R_B WE R_C リセット SEL 命令フェッチ MUX デコード 実行 ライトバック OP_MODE A B ALU WE_R_F R_ADR レジスタファイル 制御信号フォーマット (4ビット) WE_ OP_MODE R_ADR M_ADR A B C R_F SEL W/R OP_MODE :ALU の演算モード : 算術加算 : 算術減算 : 論理 AND : 論理 OR WE_ : レジスタライトイネーブル WE_ A B C R_F レジスタ名 のときにデータを各レジスタに格納 SEL: MUX( マルチプレクサ ) の選択出力信号 W/R : ポート を選択 : ポート を選択 : メモリ制御信号 : メモリから読み込み : メモリに書き込み R_ADR: レジスタファイルアドレス (~) M_ADR: メモリアドレス (~) (a) アーキテクチャ (b) 制御信号フォーマットとその機能 --リスト 2 ALU の VHDL 記述 2レジスタ A と C の内容を論理 AND し結果をレ use IEEE.STD_LOGIC_64.ALL; ジスタファイルアドレス に書き込む場合 use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; entity ALU4 is port ( A, B : in std_logic_vector(3 downto -- データ入力 (4bit) M : in std_logic_vector( downto -- 制御入力 (2bit) O : out std_logic_vector(3 downto -- 出力 (4bit) S : out std_logic -- 符号出力 (bit) end ALU4; architecture RTL of ALU4 is signal alu : std_logic_vector(3 downto -- ALU 内部データ (9bit に拡張 ) alu <= (A(3) & A) + (B(3) & B) when (M = "") -- bit 拡張後に符号付き加算 (A(3) & A) - (B(3) & B) when (M = "") -- bit 拡張後に符号付き減算 ('' & A) and ('' & B) when (M = "") -- AND 演算 end RTL; ('' & A) or ('' & B O <= alu(3 downto S <= alu(4 Fig.4 マイクロプロセッサのアーキテクチャの例 レジスタ B にメモリアドレス 番地のデ ータを格納する場合 -- OR 演算 注 : レジスタファイルには符号出力を除いたデータを出力している 8-6 マイクロプロセッサとインタフェース講義資料

7 このマイクロプロセッサのアーキテクチャについて, 以下の問に答えよ () 以下の )~5) の各動作を実行するためのビットパターンのシーケンスを示せ ) メモリ間算術加算命令 メモリアドレス からデータを読み込み, レジスタ B に格納 2 メモリアドレス からデータを読み込み, レジスタ C に格納 3 レジスタ B 及びレジスタ C の内容を算術加算演算し, 結果をレジスタファイルアドレス のレジスタに格納 2) レジスタ間データ転送命令 レジスタファイルアドレス の内容をレジスタ A に格納 3) レジスタ間減算命令 レジスタ A 及びレジスタ C の内容を算術減算し, 結果をレジスタファイルアドレス に格納 4) メモリ - レジスタ間論理 OR 演算命令 メモリアドレス からデータを読み込み, レジスタ C に格納 2 レジスタファイルアドレス の内容をレジスタ A に格納 3 レジスタ A 及びレジスタ C の内容を論理 OR 演算し, 結果をレジスタファイルアドレス へ格納 5) レジスタからメモリへのデータ転送命令 レジスタファイルアドレス の内容をメモリアドレス 番地に書き込む ) メモリ間算術加算命令 2 3 2) レジスタ間データ転送命令 3) レジスタ間減算命令 4) メモリ - レジスタ間 論理 OR 演算命令 5) レジスタからメモリへのデータ転送命令 2 3 (2) 上記の命令を全て実行した時の, レジスタファイルの内容を記入せよ ただし, 上記で指定されたメモリの内容は Table のとおりであり, レジスタファイルアドレス にはデータとして が格納されているとせよ Table 指定されたメモリアドレスの内容 メモリアドレスデータ アドレス レジスタファイル データ マイクロプロセッサとインタフェース講義資料 8-7

8 問 5. コンピュータと内部表現の基礎 ) アドレス 24 ビットのプロセッサが直接にアクセス ( 読み書き ) できるメモリの最大容量を求めよ ただし, アドレスに 8 ビットデータを割り当てる通常のメモリ構成とし, 容量は G バイト,K バイト,M バイトなどの慣用的な単位を用いること 24 最大 2 個のアドレスを指定でき, つのアドレスに8 ビット (= バイト ) が割り当てられるから, 24 2 バイト =6M バイト 2)8 ビットプロセッサについて以下の問いに答えよ ただし, このプロセッサでは, データレジスタのビット幅は 8 であり,ALU 内部では 9 ビットにビット拡張して扱っているものとせよ 8 ビットおよび 9 ビットのデータで表現できる整数の値の範囲を示せ 8ビット : 2 7 ~ 2 7 の範囲 (-28~27) 9ビット : 2 8 ~ 2 8 の範囲 (-256~255) 22 つの 8 ビットのデータの加減算の結果の値の範囲を示せ -28~27 の範囲の 2 数の加減算なので,-256~254 3 内部表現 および で表される整数の値と, そのビット拡張後の内部表現を示せ = = 77 ビット拡張すると, MSB が なので負の数 2 の補数を求めると, となる = = 7 表されている数値は -7 ビット拡張すると, 3) 以下の演算を 8 ビット内部表現で実行し, 結果の 進数表記を示せ ( 結果がオーバーフローする場合は, オーバーフロー と記せ ) )3)~3 の演算を 9 ビットに拡張してから行ってみよ マイクロプロセッサとインタフェース講義資料

9 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is Port ( A : in std_logic_vector(3 downto Y : out std_logic end Logic; architecture Behavioral of Logic is signal TMP : std_logic_vector( downto TMP() <= A(3) xor A(2 TMP() <= TMP() xor A( Y <= TMP() xor A( end Behavioral; A(3) A(2) A() A() TMP() TMP() Y (2) この回路の入出力の真理値表を示し,(3) どのような働きをする回路か述べよ (2) (3) A(3) A(2) A() A() TMP() TMP() Y 入力信号のビット列の中で の個 数が奇数の場合に出力信号 Y が になる回路である * * つまり 奇数パリティチェック回 路 として働く ヒント :4 ビットパターン A の中の の個数に注目 マイクロプロセッサとインタフェース講義資料 8-9

10 問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 (R() と Q() のみのタイミングチャート ) use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN : in std_logic; R : in std_logic_vector(n- downto Q : out std_logic_vector(n- downto ) end RegN; architecture RTL of RegN is process(clk) if (CLK'event and CLK = '') then if (EN = '') then Q <= R; CLK EN R() Q() H L 2)LOAD 入力付きカウンタ use IEEE.std_logic_64.all; use IEEE.std_logic_unsigned.all; entity PC4 is port ( CLK, RESET, LOAD : in std_logic; D : in std_logic_vector(3 downto C : out std_logic_vector(3 downto ) end PC4; architecture RTL of PC4 is signal count : std_logic_vector(3 downto process(clk, RESET) if (RESET = '') then count <= (others => '' elsif (CLK'event and CLK = '') then if (LOAD = '') then count <= D; count <= count + ''; C <= count; end RTL; リセット データのロード CLK RESET LOAD D(3) D(2) D() D() C(3) C(2) C() C() C = 8- マイクロプロセッサとインタフェース講義資料

11 3) 同期イネーブル入力付きカウンタ リスト : 問 2 の VHDL コード use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic_circuit is Port ( CLK : in std_logic; RST : in std_logic; EN : in std_logic; REG_EN_O: out std_logic; COUNT : out std_logic_vector(3 downto ) end Logic_circuit; architecture Behavioral of Logic_circuit is signal cnt : std_logic_vector(3 downto signal reg_en : std_logic; process(clk,rst) レジスタの記述 if(rst='') then reg_en<=''; elsif(clk'event and CLK='') then reg_en<=en; process(clk,rst) if(rst='') then cnt<=(others=>'' elsif(clk'event and CLK='') then if(reg_en='') then if(conv_integer(cnt)=8) then cnt<=(others=>'' cnt<=cnt+''; REG_EN_O<=reg_en; COUNT<=cnt; end Behavioral; CLK RST EN REG_EN_O COUNT[3] COUNT[2] COUNT[] COUNT[] COUNT=,,,,,,,,,,, タイミングチャート マイクロプロセッサとインタフェース講義資料 8-

12 問 3. ステートマシンの応用バスの動作応答速度のばらつきが大きい入出力装置 (I/O) をバスに接続する場合,READY 信号などの確認用信号を用いて入出力のタイミングを調整する 以下に示すのは, バスに接続された I/O にアクセスするためのバスコントローラの VHDL コードである コントローラの入出力信号の仕様は Table に示すとおりである N bit N bit M bit W_DATA R_DATA ADDRESS W D_BUS A_BUS READY IO_WRITE IO_READ N bit M bit W_DATA:I/O に書き込みたいデータ [ 入力 ] R_DATA:I/O から読み出されたデータ [ 出力 ] ADDRESS : I/O のアドレス [ 入力 ] W: で I/O への書き込み, で読み出し [ 入力 ] D_BUS: データバス [ 入出力 ] A_BUS: アドレスバス [ 出力 ] READY: I/O がアクセス可能なときに になる [ 入力 ] IO_WRITE: 書き込みを指示する制御バス信号 [ 出力 ] IO_READ: 読み出しを指示する制御バス信号 [ 出力 ] CLK RESET use IEEE.std_logic_64.all; use IEEE.std_logic_unsigned.all; entity BUS_CONTROLLER is generic (N : integer := 8; M : integer := 6 port ( CLK, RESET, READY, W : in std_logic; W_DATA : input std_logic_vector(n- downto ADDRESS : input std_logic_vector(m- downto D_BUS : inout std_logic_vector(n- downto A_BUS : out std_logic_vector(m- downto R_DATA : output std_logic_vector(n- downto IO_WRITE, IO_READ : out std_logic end BUS_CONTROLLER; architecture RTL of BUS_CONTROLLER is signal count : std_logic_vector(n- downto type type_state is (Idle, Write, Read, W_wait, R_wait signal state : type_state; IO_WRITE <= W; IO_READ <= not W; A_BUS <= ADDRESS; process(clk, RESET) if (RESET = '') then state <= Idle; elsif (CLK'event and CLK = '') then case state is when Idle => if (W = '') then state <= W_wait; state <= R_wait; when W_wait => if(ready= '') state <= W_wait; state <=Write; end if when R_wait => if(ready= '') state <= R_wait; state <= Read; when Write => state <= Idle; when Read => state <= Idle; when others => state<=idle; end case; D_BUS <= W_DATA when state = Write (others=> 'Z' R_DATA <= D_BUS when state = Read (others=> '' end RTL; 8-2 マイクロプロセッサとインタフェース講義資料

13 () このバスコントローラの状態遷移図を示せ RESET= Idle W= W= READY= READY= W_wait READY= R_wait READY= Write Read マイクロプロセッサとインタフェース講義資料 8-3

14 問 4. マイクロプロッサのアーキテクチャ Fig.4 (a) は,4ビットプロセッサのアーキテクチャの例である このプロセッサのコントローラが出力する制御信号は 4 ビットで そのフォーマットを Fig.4 (b) に示す W/ R はメモリ制御信号,OP_MODE は ALU( 算術演算論理回路 ) の演算の種類を指定するモード,WE_A,B, 及び C はレジスタにデータを書き込むための許可信号,WE_R_F はレジスタファイルにデータを書き込むための許可信号,SEL はマルチプレクサの選択信号,R_ADR はレジスタファイルアドレス, 及び M_ADR はメモリアドレスを示す また, リスト 2 はアーキテクチャ内で用いられる ALU の VHDL 記述である 命令 データメモリアドレス M_ADR W/R WE_A WE_B WE_C WE WE R_A R_B WE R_C リセット デコーダ & ステートマシン SEL 命令フェッチ MUX デコード 実行 ライトバック OP_MODE A B ALU WE_R_F R_ADR レジスタファイル 制御信号フォーマット (4ビット) WE_ OP_MODE R_ADR M_ADR A B C R_F SEL W/R OP_MODE :ALU の演算モード : 算術加算 : 算術減算 : 論理 AND : 論理 OR WE_ : レジスタライトイネーブル WE_ A B C R_F レジスタ名 のときにデータを各レジスタに格納 SEL: MUX( マルチプレクサ ) の選択出力信号 W/R : ポート を選択 : ポート を選択 : メモリ制御信号 : メモリから読み込み : メモリに書き込み R_ADR: レジスタファイルアドレス (~) M_ADR: メモリアドレス (~) (a) アーキテクチャ (b) 制御信号フォーマットとその機能 Fig.4 マイクロプロセッサのアーキテクチャの例 2レジスタ A と C の内容を論理 AND し結果をレ --リスト 2 ALU の VHDL 記述ジスタファイルアドレス に書き込む場合 use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; entity ALU4 is port ( A, B : in std_logic_vector(3 downto -- データ入力 (4bit) M : in std_logic_vector( downto -- 制御入力 (2bit) O : out std_logic_vector(3 downto -- 出力 (4bit) S : out std_logic -- 符号出力 (bit) end ALU4; architecture RTL of ALU4 is signal alu : std_logic_vector(3 downto -- ALU 内部データ (9bit に拡張 ) alu <= (A(3) & A) + (B(3) & B) when (M = "") -- bit 拡張後に符号付き加算 (A(3) & A) - (B(3) & B) when (M = "") -- bit 拡張後に符号付き減算 ('' & A) and ('' & B) when (M = "") -- AND 演算 end RTL; ('' & A) or ('' & B O <= alu(3 downto S <= alu(4 レジスタ B にメモリアドレス 番地のデ ータを格納する場合 -- OR 演算 注 : レジスタファイルには符号出力を除いたデータを出力している 8-4 マイクロプロセッサとインタフェース講義資料

15 このマイクロプロセッサのアーキテクチャについて, 以下の問に答えよ () 以下の )~5) の各動作を実行するためのビットパターンのシーケンスを示せ ) メモリ間算術加算命令 メモリアドレス からデータを読み込み, レジスタ B に格納 2 メモリアドレス からデータを読み込み, レジスタ C に格納 3 レジスタ B 及びレジスタ C の内容を算術加算演算し, 結果をレジスタファイルアドレス のレジスタに格納 2) レジスタ間データ転送命令 レジスタファイルアドレス の内容をレジスタ A に格納 3) レジスタ間減算命令 レジスタ A 及びレジスタ C の内容を算術減算し, 結果をレジスタファイルアドレス に格納 4) メモリ - レジスタ間論理 OR 演算命令 メモリアドレス からデータを読み込み, レジスタ C に格納 2 レジスタファイルアドレス の内容をレジスタ A に格納 3 レジスタ A 及びレジスタ C の内容を論理 OR 演算し, 結果をレジスタファイルアドレス へ格納 5) レジスタからメモリへのデータ転送命令 レジスタファイルアドレス の内容をメモリアドレス 番地に書き込む ) メモリ間算術加算命令 2 3 2) レジスタ間データ転送命令 3) レジスタ間減算命令 4) メモリ - レジスタ間 論理 OR 演算命令 5) レジスタからメモリへのデータ転送命令 2 3 (2) 上記の命令を全て実行した時の, レジスタファイルの内容を記入せよ ただし, 上記で指定されたメモリの内容は Table のとおりであり, レジスタファイルアドレス にはデータとして が格納されているとせよ Table 指定されたメモリアドレスの内容 メモリアドレスデータ アドレス レジスタファイル データ マイクロプロセッサとインタフェース講義資料 8-5

16 問 5. コンピュータと内部表現の基礎 ) アドレス 24 ビットのプロセッサが直接にアクセス ( 読み書き ) できるメモリの最大容量を求めよ ただし, アドレスに 8 ビットデータを割り当てる通常のメモリ構成とし, 容量は G バイト,K バイト,M バイトなどの慣用的な単位を用いること 24 最大 2 個のアドレスを指定でき, つのアドレスに8 ビット (= バイト ) が割り当てられるから, 24 2 バイト =6M バイト 2)8 ビットプロセッサについて以下の問いに答えよ ただし, このプロセッサでは, データレジスタのビット幅は 8 であり,ALU 内部では 9 ビットにビット拡張して扱っているものとせよ 8 ビットおよび 9 ビットのデータで表現できる整数の値の範囲を示せ 8ビット : 2 7 ~ 2 7 の範囲 (-28~27) 9ビット : 2 8 ~ 2 8 の範囲 (-256~255) 22 つの 8 ビットのデータの加減算の結果の値の範囲を示せ -28~27 の範囲の 2 数の加減算なので,-256~254 3 内部表現 および で表される整数の値と, そのビット拡張後の内部表現を示せ = = 77 ビット拡張すると, MSB が なので負の数 2 の補数を求めると, となる = = 7 表されている数値は -7 ビット拡張すると, 3) 以下の演算を 8 ビット内部表現で実行し, 結果の 進数表記を示せ ( 結果がオーバーフローする場合は, オーバーフロー と記せ ) 進数表記では 23 + オーバーフロー の 2 の補数は, + 桁上げを無視して 進数表記では 3 4)3)~3 の演算を 9 ビットに拡張してから行ってみよ 進数表記では 23 + 進数表記では 38 の 2 の補数は, + 桁上げを無視して 進数表記では マイクロプロセッサとインタフェース講義資料

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 1 コンピュータの構造 1.1 パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

スライド 1

スライド 1 順序回路 (2) 1 順序回路の設計 組合せ論理回路の設計法 構造や規則性に着目した手設計 ( 先人の知恵を使う ) 入力 出力の関係に基づく自動合成 ( カルノー図など ) 順序回路の設計法 構造や規則性に着目した手設計 ( 前回の各例 ) 入力 出力 状態の関係に基づく自動合成 2 同期式順序回路の入力 出力 状態の関係 x 1 x 2 組合せ回路 y 1 y 2 x n q 2 q p q 1

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 1 前回教育用の RISC POCO を導入しました 今日はその Verilog 記述を紹介します まず この復習をやっておきましょう 2 最も重要な点は メモリの読み書きで レジスタ間接指定の理解です これはポインタと一緒なので 間違えないように修得してください 3 RISC なので 基本の演算はレジスタ同士でしかできません MV はレジスタ間のデータ移動なので気をつけてください 4 イミーディエイト命令は

More information

C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ

C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 コンピュータの構造 1. パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置 ):

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

出 アーキテクチャ 誰が 出 装置を制御するのか 1

出 アーキテクチャ 誰が 出 装置を制御するのか 1 出 アーキテクチャ 誰が 出 装置を制御するのか 1 が 出 装置を制御する メモリ ( 主記憶 ) 命令データ 出 装置 2 が 出 装置を制御する 命令 実 入出力装置を制御する命令を実行する メモリ ( 主記憶 ) 命令データ 制御 出 装置 3 が 出 装置を制御する メモリ ( 主記憶 ) 命令 実 制御 命令データ データを出力せよ 出 装置 4 が 出 装置を制御する メモリ ( 主記憶

More information

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx 2019 年 4 月 26 日ハードウエア設計論 :3 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 種々の記述 ~ ALU の実装とタイミングに関して always @(A or B or C) Ubuntu を起動し verilog が実行できる状態にしておいてください 79 演習 4: 簡単な演算器 1 入力 A:8 ビット 入力 B:8 ビット 出力 O:8

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

スライド 1

スライド 1 3. 演算命令を学ぼう 本稿の Web ページ http://www.mybook-pub-site.sakura.ne.jp/pic/index.html 1 ; ADD このソースファイルを各自打ち込んで下さい. EQU 0x0C ; at 0C 足し算を実行するプログラムの例です. MOVLW B 00000001 ; Load 0x01 to W ADDLW B'00000011' ; W

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

<4D F736F F D2091B28BC68CA48B8695F18D902E646F63>

<4D F736F F D2091B28BC68CA48B8695F18D902E646F63> 卒業研究報告 題目 LED ディスプレイ用動画表示制御回路の設計と製作 指導教員 矢野政顕教授 報告者学籍番号 : 1060237 氏名 : 田中振宇 平成 18 年 2 月 21 日 高知工科大学電子 光システム工学科 目次 第 1 章はじめに 1 第 2 章 LED ディスプレイ 2 2-1 LED(Light Emitting Diode) 2 2-1-1 LED の発光原理 2 2-1-2

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

Microsoft PowerPoint - Chap4 [Compatibility Mode]

Microsoft PowerPoint - Chap4 [Compatibility Mode] 計算機構成論 (Chap. ) @C01 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch2012/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見

More information

Microsoft PowerPoint - Chap3 [Compatibility Mode]

Microsoft PowerPoint - Chap3 [Compatibility Mode] 計算機構成論 (Chap. 3) @C4 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch22/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見 ご要望

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ選択( 復習 ) MIPS の構造 PC 命令デコーダ 次 PC 計算 mux 32x32 ビットレジスタファイル

More information

FPGA と LUPO その1

FPGA と LUPO その1 FPGA Lecture for LUPO and GTO Vol. 1 2010, 31 August (revised 2013, 19 November) H. Baba Contents FPGA の概要 LUPO の基本的な使い方 New Project Read and Write 基本的な Behavioral VHDL simulation Firmware のダウンロード FPGA

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 7 週命令セットアーキテクチャ ( 命令の表現 命令の実行の仕組 ) 2013 年 11 月 6 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

卒 業 研 究 報 告

卒 業 研 究 報 告 VHDL 1040183 16 2 17 1 1 2 2 2 2 2 1 2 2 2 3 7 3 18 19 20 22 23 25 4 VHDL 27 27 8 BCD 2 27 28 REG_B 29 29 STATE 29 31 VHDL 5 VHDL 1 CPU Hardware Description Language : HDL VHDL VHSIC HDL 1 2 3 VHDL 4 3

More information

PeakVHDL Max+Plus VGA VG

PeakVHDL Max+Plus VGA VG 2001 PC 9720002 14 2 7 4 1 5 1.1... 5 1.2... 5 1.3... 6 1.4... 6 2 7 2.1... 7 2.2... 8 2.2.1... 8 2.3... 9 2.3.1 PeakVHDL... 9 2.3.2 Max+Plus2... 9 3 VGA 10 3.1... 10 3.2 VGA... 10 3.3 VGA... 11 3.4 VGA...

More information

VBI VBI FM FM FM FM FM DARC DARC

VBI VBI FM FM FM FM FM DARC DARC 14 2 7 2.1 2.1.1 2.1.2 2.1.3 2.1.3.1 VBI 2.1.3.2 VBI 2.1.4 2.1.5 2.1.6 10 2.FM 11 2.2.1 FM 11 2.2.2 FM 11 2.2.3FM 13 2.2.4 FM DARC 14 2.2.4.1 DARC 14 2.2.4.2 DARC 14 17 3.1 17 3.1.1 parity 17 3.1.2 18

More information

------------------------------------------------------------------------------------------------------- 1 --------------------------------------------

------------------------------------------------------------------------------------------------------- 1 -------------------------------------------- ------------------------------------------------------------------------------------------------------- 1 -------------------------------------------------------------------------- 2 -----------------------------------------------------------------------------

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

Microsoft PowerPoint - Lec ppt [互換モード]

Microsoft PowerPoint - Lec ppt [互換モード] 0 年後学期 アウトオブオーダ実行プロセッサの構成 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ 命令ウィンドウ ALU レジスタファイル ALU スケジューラ等 Register Dispatch 命令フェッチ, デコード, リネーミング バックエンド アウトオブオーダ実行プロセッサの構成 ディスパッチ

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 POCO の 1 サイクルマイクロアーキテクチャ POCO は 作りながら学ぶコンピュータアーキテクチャ ( 倍風館 ) で使っている教育用の 16 ビット RISC である www.am.ics.keio.ac.jp/parthenon/pocobook/ も参照のこと POCO の構成 1 + + ext func[2:0] 2:0 THB ADD 00 01 10 comsel com S A

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 計算機基礎第 7 回 ノイマン型計算機 (2) 1 スタックの練習問題 逆ポーランド表記 ( 後置記法 : postfix notation) に変換してみよ 1+2*3+4 1 2 3 * + 4 + (1+2)*3+4 1 2 + 3 * 4 + 1+2*(3+4) 下の 3 番目と同じ 中置記法 (infix notation) に変換してみよ 1 2 + 3 * 4 + (1 + 2) *

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

Microsoft PowerPoint - NxLecture ppt [互換モード]

Microsoft PowerPoint - NxLecture ppt [互換モード] 011-05-19 011 年前学期 TOKYO TECH 命令処理のための基本的な 5 つのステップ 計算機アーキテクチャ第一 (E) 5. プロセッサの動作原理と議論 吉瀬謙二計算工学専攻 kise_at_cs.titech.ac.jp W61 講義室木曜日 13:0-1:50 IF(Instruction Fetch) メモリから命令をフェッチする. ID(Instruction Decode)

More information

Microsoft Word - マイコン実験 doc

Microsoft Word - マイコン実験 doc Ⅲ-2. マイクロコンピュータの実験 - 1 1. 目的 (1) 基本的なマイクロコンピュ-タの構成を学ぶとともに 各部の機能を理解する (2) マイクロコンピュ-タの機械語プログラムの理解を深める 2. マイクロコンピュ-タの動作図 1は 8ビットマイクロプロセッサ Z80 を用いたマイクロコンピュ-タシステムのブロック図である 以下に このマイクロコンピュ-タを例にとり 各部の動作を説明する 記憶部

More information

スライド 1

スライド 1 Dispatch 0 年後学期 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ ALU Dispatch 命令フェッチ, デコード, リネーミング バックエンド ディスパッチ (dispatch) : 命令ウィンドウに命令を格納する動作 発行 (issue, fire) : 命令ウィンドウから, データ依存が解消された命令を機能ユニットに送り出す動作

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 マルチサイクルを用いた実現方式 ( 教科書 5. 節 ) マルチサイクル方式 () 2 つのデータパス実現方式 単一クロックサイクル : 命令を クロックサイクルで処理 マルチクロックサイクル : 命令を複数クロックサイクルで処理 単一クロックサイクル方式は処理効率が悪い. CLK 処理時間 命令命令命令命令命令 時間のかかる命令にクロック サイクル時間をあわさなければならない. 余り時間の発生 クロック

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 11 週 制御アーキテクチャ メモリの仕組 2013 年 12 月 4 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

Microsoft PowerPoint - Sol7 [Compatibility Mode]

Microsoft PowerPoint - Sol7 [Compatibility Mode] ミニクイズ 4 E ハザード ( つ前の命令の結果を必要とする状況 ) が発生する条件を つ挙げよ. また それぞれの時に 制御線 ForwardA, ForwardB はどのように設定すれば良いか? ( 回答 ) E/.RegWrite= かつ E/.RegisterRd = ID/.RegisterRs この時,ForwardA = と制御すれば良い. E/.RegWrite= かつ E/.RegisterRd

More information

Microsoft PowerPoint - LogicCircuits11note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits11note.ppt [互換モード] 論理回路 第 回多状態順序回路の設計 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N4 内線 5459 takasii@info.kindai.ac.jp 不完全指定論理関数と完全指定論理関数 2 n 個の状態を持つ (n 個の FF を持つ ) 論理関数に対して 定義 3. ( 不完全指定論理関数 ) ある状態に対する状態遷移関数, 出力関数が定義されていない論理関数

More information

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例 MODBUS RTU 通信時の配線例 ( 例 )FPΣ と弊社製温調器 KT シリーズ通信します マスタとして使用する FPΣ の MODBUS マスタ機能を使用し スレーブの KT シリーズのデータを読み出し 書き込みを行います マスタ データ書き込み スレーブ データ読み出し RS485 FPΣ の通信カセットは COM3 カセット (FPG-COM3) もしくは COM4 カセット (FPG-COM4)

More information

Microsoft PowerPoint - Chap2 [Compatibility Mode]

Microsoft PowerPoint - Chap2 [Compatibility Mode] 計算機構成論 (Chap. 2) @C http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch22/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見 ご要望

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 命令と命令表現 ( 教科書 3.1 節 ~3.4 節 ) プロセッサの命令と命令セット 命令 : プロセッサへの指示 ( プロセッサが実行可能な処理 ) 加算命令 減算命令 論理演算命令 分岐命令 命令セット : プロセッサが実行可能な命令の集合 ( プログラマから見えるプロセッサの論理仕様 ) プロセッサ A 加算命令分岐命令 プロセッサ B 加算命令減算命令 命令セットに含まれない命令は直接実行できない!

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

スライド 1

スライド 1 計算機の構造とプログラムの実行 1 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域 命令命令命令 演算器 (ALU) 2 計算機の基本動作 プロセッサは, メモリのプログラム領域から命令をアドレス順に読み出して実行する 演算は ALU (Arithmetic Logic Unit) が行う 必要に応じて, メモリとプロセッサ内のレジスタとの間でデータを移動する

More information

アナログ・接点変換器

アナログ・接点変換器 LoRa/ 通信変換器 HLR-RS485 通信仕様書 (Modbus) インターフェース 2019 年 02 月 19 日 改訂履歴 日付改訂者改訂内容 2018/09/14 野村初版 2019/02/19 山下 改訂 1 P12 説明文修正 レジスタ割付修正 P13 キャリアセンス異常エラー追加 承認確認作成 ( 3 ) 目次 1 概要... 4 2 基本仕様... 4 3 通信モードについて...

More information

スライド 1

スライド 1 6.LED( 発光ダイオード ) の制御を学ぼう 本稿の Web ページ http://www.cmplx.cse.nagoya-u.ac.jp/~furuhashi/education/pic/index.html 1 5V R 4 SW 1 R 3 R 2 SW 2 SW 3 PIC16F84A 1 RA2 RA1 18 2 RA3 RA0 17 3 RA4 OSC1 16 4 MCLR OSC2

More information

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt ( 第 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など ( 論理回路 Ⅰ) の期末試験 実施日 : 8/5( 金 ) : @ 教室 ( 定規 OK, 参照ダメ ) 成績評価 : 中間 5%, 期末 5% ( 出席率 8% 以上の学生が評価対象

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Microsoft PowerPoint - vlsi4.ppt [互換モード]

Microsoft PowerPoint - vlsi4.ppt [互換モード] (4) 工学部講義 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻 東京大学工学部電子情報工学科 / 電気工学科 はじめに CPU の設計 (3) はじめに 本講義の目的 の基本を学ぶ : 機能 VLSI 対象者 : 工学部 4 年生以上 担当者 坂井修一 プロセッサ VLSI 池田誠 アルゴリズム VLSI 時間 場所 水曜日 8:30-10:15 工学部 2 号館 243 前提となる知識

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード ストア命令, 分岐命令 計算機はどのように構成されているのか

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T341 コンピュータ論理設計 Computer Logic Design 10. シングルサイクルプロセッサのデータパス Datapath for Single Cycle Processor 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

Microsoft PowerPoint - ProcML-12-3.ppt

Microsoft PowerPoint - ProcML-12-3.ppt プロセッサと 年次前次前期 ( 第 回 ) 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow か? () + + () + + 答 答 中島克人 情報メディア学科 nakajima@im.dendai.ac.jp () - = + + 答 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 6 週演算アーキテクチャ ( 続き ) ノイマン型コンピュータ 命令とは 命令の使い方 2013 年 10 月 30 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 1 CPU が外部とデータをやり取りするための装置を I/O と呼びます データをやりとりするため 一時的にデータを蓄えておくレジスタを持っています これをバッファと呼ぶ場合があります I/O は繋ぐ対象によって動作が様々なので授業で扱うのが難しいです しかし どの I/O も 1 まず CPU と接続しなければならず 2 外部とデータ転送を行わなければならないです なので この 2 点について押さえておこうと思います

More information

第 1 回マイクロプロセッサの時代 マイクロプロセッサとは, コンピュータの CPU( および周辺回路 ) を1チップ化した集積回路である. このマイクロプロセッサを構成する最も細かい部分の動作の基本は, 電子デバイスの持つ増幅作用と非線形作用にある. 一方, その働き全体を捉えれば, 記号を操作す

第 1 回マイクロプロセッサの時代 マイクロプロセッサとは, コンピュータの CPU( および周辺回路 ) を1チップ化した集積回路である. このマイクロプロセッサを構成する最も細かい部分の動作の基本は, 電子デバイスの持つ増幅作用と非線形作用にある. 一方, その働き全体を捉えれば, 記号を操作す 第 回マイクロプロセッサの時代 マイクロプロセッサとは, コンピュータの CPU( および周辺回路 ) をチップ化した集積回路である. このマイクロプロセッサを構成する最も細かい部分の動作の基本は, 電子デバイスの持つ増幅作用と非線形作用にある. 一方, その働き全体を捉えれば, 記号を操作する機械 であり, 記号によって組み立てられた機械 でもある. 講義の第 回では, トランジスタ,C, という半導体デバイスの動作の基本と計算機械の基本を復習することで,

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 03 変数と式 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 3.1 変数と型 変数とは p.60 C 言語のプログラム中で, 入力あるいは計算された数や文字を保持するには, 変数を使用する. 名前がついていて値を入れられる箱, というイメージ. 変数定義 : 変数は変数定義 ( 宣言 ) してからでないと使うことはできない. 代入 : 変数には値を代入できる.

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information