Timers_JP.fm

Size: px
Start display at page:

Download "Timers_JP.fm"

Transcription

1 khz Microchip Technology Inc. Advance Information DS39704A_JP-page 14-1

2 PIC24F 14.1 PIC24F / TMRx: 16 PRx: 16 TxCON: 16 (TxIE) (TxIF) (TxIP<2:0>) A B C DS39704A_JP-page 14-2 Advance Information 2007 Microchip Technology Inc.

3 PIC24F A B C 3 : A 1 A PIC24F A A 32kHz A : PIC24F RTCC HW RTCC 14-1: A ( 1) SOSCO/ T1CK 1x TON TCKPS1:TCKPS SOSCEN 01 1, 8, 64, 256 SOSCI TGATE TCY 00 TGATE TCS T1IF 1 0 Q Q D CK TMR1 0 1 TSYNC PR1 1: Microchip Technology Inc. Advance Information DS39704A_JP-page 14-3

4 PIC24F B 2 4 PIC24F B B B C 32 B TxCON 32 T32 B B : B TCKPS1:TCKPS0 TxCK 1x TON , 8, 64, 256 TGATE 00 TxIF 1 0 Q Q D CK TCY TCS TGATE TMR2 (TMR4) PR2 (PR4) DS39704A_JP-page 14-4 Advance Information 2007 Microchip Technology Inc.

5 C PIC24F 3 5 C C C B 32 1 C A/D C : C TCKPS1:TCKPS0 TxCK (1) 1x TON , 8, 64, 256 TGATE 00 TCY TCS TxIF 1 0 TMR3 (TMR5) Q Q D CK TGATE 14 ADC * PR3 (PR5) * ADC 4/5 1: PIC24 TxCK I/O 32 (FOSC/2) 2007 Microchip Technology Inc. Advance Information DS39704A_JP-page 14-5

6 PIC24F : TxCON: A R/W-0 U-0 R/W-0 U-0 U-0 U-0 U-0 U-0 TON TSIDL 15 8 U-0 R/W-0 R/W-0 R/W-0 U-0 R/W-0 R/W-0 U-0 TGATE TCKPS<1:0> TSYNC TCS 7 0 : R = W = U = 0 -n = POR 1 = 0 = x = TON: x 1 = 0 = 0 TSIDL: 1 = 0 = 0 TGATE x When TCS = 1: When TCS = 0: 1 = 0 = TCKPS<1:0>: x 11 = 1: = 1:64 01 = 1:8 00 = 1:1 0 TSYNC: x When TCS = 1: 1 = 0 = When TCS = 0: 0 x TCS=0 TCS: x 1 = TxCK 0 = (FOSC/2) 0 DS39704A_JP-page 14-6 Advance Information 2007 Microchip Technology Inc.

7 : TxCON: B R/W-0 U-0 R/W-0 U-0 U-0 U-0 U-0 U-0 TON TSIDL 15 8 U-0 R/W-0 R/W-0 R/W-0 R/W-0 U-0 R/W-0 U-0 TGATE TCKPS<1:0> T32 TCS 7 0 : R = W = U = 0 -n = POR 1 = 0 = x = TON: x T32 = 1 (32 ) 1 = 32 TMRx TMRy 0 = 32 TMRx TMRy T32 = 0 (16 ) 1 = 16 0 = 16 0 TSIDL: 1 = 0 = 0 TGATE: x TCS = 1 TCS = 0 1 = 0 = TCKPS<1:0>: x 11 = 1: = 1:64 01 = 1:8 00 = 1:1 T32: 32 x 1 = TMRx TMRy 32 0 = TMRx TMRy 16 0 TCS: x 1 = TxCK 0 = (FOSC/2) Microchip Technology Inc. Advance Information DS39704A_JP-page 14-7

8 PIC24F 14-3: TyCON: C R/W-0 U-0 R/W-0 U-0 U-0 U-0 U-0 U-0 TON(1) TSIDL 15 8 U-0 R/W-0 R/W-0 R/W-0 U-0 U-0 R/W-0 U-0 TGATE(1) TCKPS<1:0> TCS 7 0 : R = W = U = 0 -n = POR 1 = 0 = x = 15 TON: y (1) = 16 y 0 = 16 y 0 TSIDL: 1 = 0 = TGATE: y (1) TCS = 1 TCS = 0 1 = 0 = TCKPS<1:0>: y 11 = 1: = 1:64 01 = 1:8 00 = 1:1 0 TCS: y 1 = TxCK 0 = (FOSC/2) 0 1: 32 (T2CON<3> = 1) y T2CON DS39704A_JP-page 14-8 Advance Information 2007 Microchip Technology Inc.

9 ( A C ) TCS (TxCON<1>): TSYNC (TxCON<2>): ( A ) TGATE (TxCON<6>): TON (TxCON <15>) : A C (FOSC/2) 1:1 1 TCS (TxCON<1>) TSYNC (TxCON<2>) 14-1: /* The following code example will enable Timer1 interrupts, load the Timer1 Period register and start Timer1. */ When a Timer1 period match interrupt occurs, the interrupt service routine must clear the Timer1 interrupt status flag in software. T1CON = 0x00; TMR1 = 0x00; PR1 = 0xFFFF; IPC0bits.T1IP = 0x01; IFS0bits.T1IF = 0; IEC0bits.T1IE = 1; T1CONbits.TON = 1; //Stops the Timer1 and reset control reg. //Clear contents of the timer register //Load the Period register with the value 0xFFFF //Setup Timer1 interrupt for desired priority level // (This example assigns level 1 priority) //Clear the Timer1 interrupt status flag //Enable Timer1 interrupts //Start Timer1 with prescaler settings at 1:1 and //clock source set to the internal instruction cycle /* Example code for Timer1 ISR*/ void attribute (( interrupt, shadow )) _T1Interrupt(void) { /* Interrupt Service Routine code goes here */ } IFS0bits.T1IF = 0; //Reset Timer1 interrupt flag and Return from ISR 2007 Microchip Technology Inc. Advance Information DS39704A_JP-page 14-9

10 PIC24F TCS (TxCON<1>) TxCK A TSYNC (TxCON<2>) B C TCY High Low 1 2 : High Low 14-2: 16 /* The following code example will enable Timer1 interrupts, load the Timer1 Period register and start Timer1 using an external clock and a 1:8 prescaler setting. */ When a Timer1 period match interrupt occurs, the interrupt service routine must clear the Timer1 interrupt status flag in software. T1CON = 0x00; TMR1 = 0x00; PR1 = 0x8CFF; IPC0bits.T1IP = 0x01; IFS0bits.T1IF = 0; IEC0bits.T1IE = 1; T1CON = 0x8016; //Stops the Timer1 and reset control reg. //Clear contents of the timer register //Load the Period register with the value 0x8CFF //Setup Timer1 interrupt for desired priority level // (this example assigns level 1 priority) //Clear the Timer1 interrupt status flag //Enable Timer1 interrupts //Start Timer1 with prescaler settings at 1:8 and //clock source set to the external clock in the //synchronous mode /* Example code for Timer1 ISR*/ void attribute (( interrupt, shadow )) _T1Interrupt(void) { /* Interrupt Service Routine code goes here */ } IFS0bits.T1IF = 0; //Reset Timer1 interrupt flag and Return from ISR DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

11 A A TxCK TSYNC (TxCON<2>) 32kHz 1: A 2: x High Low 14-3: 16 /* The following code example will enable Timer1 interrupts, load the Timer1 Period register and start Timer1 using an asynchronous external clock and a 1:8 prescaler setting. */ When a Timer1 period match interrupt occurs, the interrupt service routine must clear the Timer1 interrupt status flag in software. T1CON = 0x00; TMR1 = 0x00; PR1 = 0x8CFF; IPC0bits.T1IP = 0x01; IFS0bits.T1IF = 0; IEC0bits.T1IE = 1; T1CON = 0x8012; //Stops the Timer1 and reset control reg. //Clear contents of the timer register //Load the Period register with the value 0x8CFF //Setup Timer1 interrupt for desired priority level // (this example assigns level 1 priority) //Clear the Timer1 interrupt status flag //Enable Timer1 interrupts //Start Timer1 with prescaler settings at 1:8 and //clock source set to the external clock in the //asynchronous mode 14 /* Example code for Timer1 ISR*/ void attribute (( interrupt, shadow )) _T1Interrupt(void) { /* Interrupt Service Routine code goes here */ } IFS0bits.T1IF = 0; //Reset Timer1 interrupt flag and Return from ISR 2007 Microchip Technology Inc. Advance Information DS39704A_JP-page 14-11

12 PIC24F A B ( ) High Low A B 1:1 High Low A High Low I/O TxCK High TxCK High TxCK Low High Low TxIF TxCK 1 2 TGATE (TxCON<6>) TON (TxCON<15>) = 1 TCS (TxCON<1>) = 0 TxCK TxCK High TxIF : CPU 1:1 1: DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

13 : 1 (TCY) TMRx TxCK pin TxIF TxIF 14-4: 16 /* The following code example will enable Timer2 interrupts, load the Timer2 Period register and start Timer2 using an internal clock and an external gate signal. On the falling edge of the gate signal a Timer2 interrupt occurs. The interrupt service routine must clear the Timer2 interrupt status flag in software. */ T2CON = 0x00; //Stops the Timer2 and reset control reg. TMR2 = 0x00; //Clear contents of the timer register PR2 = 0xFFFF; //Load the Period register with the value 0xFFFF IPC1bits.T2IP = 0x01; //Setup Timer2 interrupt for desired priority level // (this example assigns level 1 priority) IFS0bits.T2IF = 0; //Clear the Timer2 interrupt status flag IEC0bits.T2IE = 1; //Enable Timer2 interrupts T2CONbits.TGATE = 1; //Set up Timer2 for operation in Gated //Time Accumulation mode T2CONbits.TON = 1; //Start Timer2 void attribute (( interrupt, shadow )) _T2Interrupt(void) { /* Interrupt Service Routine code goes here */ 14 } IFS0bits.T2IF = 0; //Reset Timer2 interrupt flag and Return from ISR 2007 Microchip Technology Inc. Advance Information DS39704A_JP-page 14-13

14 PIC24F (FOSC/2 ) 1:1 1:8 1:64 1:256 TCKPS<1:0> (TxCON<5:4>) TMRx TON (TxCON<15>) 0 : TMRx TxCON 16 TxIF TxIF TxIE (TxIP<2:0>) 8 : PRx 0x : 1 (TCY) TMR2 47FD 47FE 47FF TMR2 PR TxIF DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

15 SFR (8 ) (16 ) SFR (16 ) xFF 0x00 0xFF TMRx ( ) TMRx SFR (16 ) ( 0 ) TMRx khz A (RTC) 32 khz OSCCON SOSCEN 32 khz SOSCO/SOSCI Microchip Technology Inc. Advance Information DS39704A_JP-page 14-15

16 PIC24F B C 16 C (msw) B (lsw) 32 B 32 C TxCON 32 C B 32 : B C C 3 B 2 TON (T2CON<15>) = 1 T32 (T2CON<3>) = 1 TCKPS<1:0> (T2CON<5:4>) 2( B ) TMR3 TMR2 32 TMR3( C ) 32 TMR2( B ) PR3 PR2 TMR3:TMR2 32 T3IE (IEC0<8>) 32 T3IF (IFS0<8>) T3IP<2:0> (IPC2<2:0>) 32 T3CON<15:0> : 32 DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

17 : B/ C (32 ) TCKPS1:TCKPS0 T2CK (T4CK) 1x TON , 8, 64, 256 TCY 00 TGATE TGATE TCS T3IF (T5IF) 1 0 Q Q D CK PR3 (PR5) PR2 (PR4) ADC * MSB LSB 16 TMR3 (TMR5) TMR2 (TMR4) 14 TMR2 (TMR4) TMR2 (TMR4) 16 TMR3HLD (TMR5HLD) 16 <15:0> * ADC 4/5 : 32 / 32 T32 T2CON T4CON 2007 Microchip Technology Inc. Advance Information DS39704A_JP-page 14-17

18 PIC24F B 3 C 32 T2CON ( B ) T T3CON T2CON 2 32 T3IF 2 32 lsw 3 msw TMR3 TMR2 ( ) 32 PR2 PR3 32 PR3:PR2 0xFFFFFFFF : 32 /* The following code example will enable Timer3 interrupts, load the Timer3:Timer2 Period Register and start the 32-bit timer module consisting of Timer3 and Timer2. */ When a 32-bit period match interrupt occurs, the user must clear the Timer3 interrupt status flag in software. T2CON = 0x00; T3CON = 0x00; TMR3 = 0x00; TMR2 = 0x00; PR3 = 0xFFFF; PR2 = 0xFFFF; //Stops any 16/32-bit Timer2 operation //Stops any 16-bit Timer3 operation //Clear contents of the timer3 register //Clear contents of the timer2 register //Load the Period register3 with the value 0xFFFF //Load the Period register2 with the value 0xFFFF IPC2bits.T3IP = 0x01; IFS0bits.T3IF = 0; IEC0bits.T3IE = 1; T2CONbits.T32 = 1; T2CONbits.TON = 1; //Setup Timer3 interrupt for desired priority level //(this example assigns level 1 priority) //Clear the Timer3 interrupt status flag //Enable Timer3 interrupts //Enable 32-bit Timer operation //Start 32-bit timer with prescaler //settings at 1:1 and clock source set to //the internal instruction cycle void attribute (( interrupt, shadow )) _T3Interrupt(void) { /* Interrupt Service Routine code goes here */ } IFS0bits.T3IF = 0; //Reset Timer1 interrupt flag and Return from ISR DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

19 B 3 C 14-6: 32 /* The following code example will enable Timer2 interrupts, load the Timer3:Timer2 Period register and start the 32-bit timer module consisting of Timer3 and Timer2. */ When a 32-bit period match interrupt occurs, the user must clear the Timer3 interrupt status flag in the software. T2CON = 0x00; T3CON = 0x00; TMR3 = 0x00; TMR2 = 0x00; PR3 = 0xFFFF; PR2 = 0xFFFF; //Stops any 16/32-bit Timer2 operation //Stops any 16-bit Timer3 operation //Clear contents of the timer3 register //Clear contents of the timer2 register //Load the Period register3 with the value 0xFFFF //Load the Period register2 with the value 0xFFFF IPC2bits.T3IP = 0x01; IFS0bits.T3IF = 0; IEC0bits.T3IE = 1; T2CON = 0x801A; //Setup Timer3 interrupt for desired priority level //(this example assigns level 1 priority) //Clear the Timer3 interrupt status flag //Enable Timer3 interrupts //Enable 32-bit Timer operation and start //32-bit timer with prescaler settings at 1:8 //and clock source set to external clock 14 void attribute (( interrupt, shadow )) _T3Interrupt(void) { /* Interrupt Service Routine code goes here */ } IFS0bits.T3IF = 0; //Reset Timer1 interrupt flag and Return from ISR 2007 Microchip Technology Inc. Advance Information DS39704A_JP-page 14-19

20 PIC24F B C B 3 C 14-7: 32 /* The following code example will enable Timer2 interrupts, load the Timer3:Timer2 Period register and start the 32-bit timer module consisting of Timer3 and Timer2. When a 32-bit period match occurs the timer will simply roll over and continue counting. */ However, when at the falling edge of the Gate signal on T2CK an interrupt is generated, if enabled. The user must clear the Timer3 interrupt status flag in the software. T2CON = 0x00; T3CON = 0x00; TMR3 = 0x00; TMR2 = 0x00; PR3 = 0xFFFF; PR2 = 0xFFFF; IPC2bits.T3IP = 0x01; IFS0bits.T3IF = 0; IEC0bits.T3IE = 1; T2CON = 0x8048; //Stops any 16/32-bit Timer2 operation //Stops any 16-bit Timer3 operation //Clear contents of the timer3 register //Clear contents of the timer2 register //Load the Period register3 with the value 0xFFFF //Load the Period register2 with the value 0xFFFF //Setup Timer3 interrupt for desired priority level //(this example assigns level 1 priority) //Clear the Timer3 interrupt status flag //Enable Timer3 interrupts //Enable 32-bit Timer operation and //Start 32-bit timer in gated time accumulation mode. void attribute (( interrupt, shadow )) _T3Interrupt(void) { /* Interrupt Service Routine code goes here */ } IFS0bits.T3IF = 0; //Reset Timer1 interrupt flag and Return from ISR DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

21 lsw msw 32 ( 14-6 ) C TMRxHLD TMRxHLD 32 TMR3:TMR2 32 TMR2 lsw lsw TMR3 TMR3HLD TMR3HLD msw : 32 /* The following code segment reads the 32-bit timer formed by the Timer3-Timer2 pair into the registers W1(MS Word) and W0(LS Word). */ unsigned int temp_lsb; unsigned int temp_msb; temp_lsb = TMR2; temp_msb = TMR3HLD; //Transfer the LSW into temp_lsb //Transfer the MSW from the holding register to into //temp_msb TMR3:TMR2 TMR3HLD msw TMR2 lsw TMR3HLD TMR (FOSC/2) A A A 1 TON (TxCON<15>) = 1 1 TCS (TxCON<1>) = 1 TSYNC (TxCON<2>) 0 ( ) : 1 1 TxIF CPU TSIDL (TxCON<13>) TSIDL = 0 TSIDL = CPU Microchip Technology Inc. Advance Information DS39704A_JP-page 14-21

22 PIC24F , A/D 1 C A/D A/D T32 = 0 16 (TMRx) 16 (PRx) A/D T32 = 1 32 (TMRx:TMRy) 32 (PRx:PRy) A/D A/D A/D PRx TMRx 1 1: I/O I/O (PMD1 TxMD ) TxMD DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

23 Microchip Technology Inc. Advance Information DS39704A_JP-page : PIC24F 14-1 SFR Bit 15 Bit 14 Bit 13 Bit 12 Bit 11 Bit 10 Bit 9 Bit 8 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 PMD1 T5MD T4MD T3MD T2MD T1MD I2C1MD U2MD U1MD SPI2MD SPI1MD ADCMD 0000 TMR1 xxxx PR1 FFFF T1CON TON TSIDL TGATE TCKPS1 TCKPS0 TSYNC TCS 0000 TMR2 xxxx TMR3HLD ( ) xxxx TMR3 xxxx PR2 FFFF PR3 3 FFFF T2CON TON TSIDL TGATE TCKPS1 TCKPS0 T32 TCS 0000 T3CON TON TSIDL TGATE TCKPS1 TCKPS0 TCS 0000 TMR4 xxxx TMR5HLD ( ) xxxx TMR5 xxxx PR4 FFFF PR5 FFFF T4CON TON TSIDL TGATE TCKPS1 TCKPS0 T32 TCS 0000 T5CON TON TSIDL TGATE TCKPS1 TCKPS0 TCS 0000 IFS0 AD1IF U1TXIF U1RXIF SPI1IF SPF1IF T3IF T2IF OC2IF IC2IF T1IF OC1IF IC1IF INT01F 0000 IFS1 U2TXIF U2RXIF INT2IF T5IF T4IF OC4IF OC3IF INT1IF CNIF CMIF M2C1IF SI2C1IF 0000 IEC0 AD1IE U1TXIE U1RXIE SPI1IE SPF1IE T3IE T2IE OC2IE IC2IE T1IE OC1IE IC1IE INT01E 0000 IEC1 U2TXIE U2RXIE INT2IE T5IE T4IE OC4IE OC3IE INT1IE CNIE CMIE M2C1IE SI2C1IE 0000 IPC0 T1IP2 T1IP1 T1IP0 OC1IP2 OC1IP1 OC1IP0 IC1IP2 IC1IP1 IC1IP0 INT0IP2 INT0IP1 INT0IP IPC1 T2IP2 T2IP1 T2IP0 OC2IP2 OC2IP1 OC2IP0 IC2IP2 IC2IP1 IC2IP IPC2 U1RXIP2 U1RXIP1 U1RXIP0 SPI1IP2 SPI1IP1 SPI1IP0 SPF1IP2 SPF1IP1 SPF1IP0 T3IP2 T3IP1 T3IP IPC6 T4IP2 T4IP1 T4IP0 OC4IP2 OC4IP1 OC4IP0 OC3IP2 OC3IP1 OC3IP IPC7 U2TXIP2 U2TXIP1 U2TXIP0 U2RXIP2 U2RXIP1 U2RXIP0 INT2IP2 INT2IP1 INT2IP0 T5IP2 T5IP1 T5IP : 14

24 PIC24F PIC24F # AN580 : PIC24F ( DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

25 A ( ) 2007 Microchip Technology Inc. Advance Information DS39704A_JP-page 14-25

26 PIC24F : DS39704A_JP-page Advance Information 2007 Microchip Technology Inc.

Section 41. Interrupts (Part IV)

Section 41. Interrupts (Part IV) ハイライト 第 41 章割り込み ( パート IV) 本章では次のトピックについて説明します ご注意 : この日本語版ドキュメントは 参考資料としてご使用の上 最新情報につきましては 必ず英語版オリジナルをご参照いただきますようお願いします 41 割り込み ( パート IV) 41.1 はじめに...41-2 41.2 ノンマスカブルトラップ...41-7 41.3 割り込み処理タイミング...41-12

More information

33F FRM Section 6. Interrupts.fm

33F FRM Section 6. Interrupts.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション. 割り込み ハイライト 本セクションには下記の主要項目を記載しています.1 はじめに... -2.2 ノンマスカブルトラップ... -7.3 割り込み処理タイミング... -13.4 割り込み制御およびステータスレジスタ... -1.5 割り込みのセットアップ手順... -59.

More information

dsPIC33F FRM - Section 47. Interrupts (Part V)

dsPIC33F FRM - Section 47. Interrupts (Part V) 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 47. 割り込み ( パート V) ハイライト 本セクションには以下の主要項目を記載しています 47 47.1 はじめに... 47-2 47.2 ノンマスカブルトラップ... 47-7 47.3 割り込み処理タイミング... 47-12 47.4 割り込み制御 / ステータスレジスタ...

More information

dsPIC33F/PIC24H

dsPIC33F/PIC24H 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 53. 割り込み ( パート VI) ハイライト 本セクションには以下の主要項目を記載しています 53.1 はじめに... 53-2 53.2 ノンマスカブルトラップ... 53-8 53.3 割り込み処理タイミング... 53-13 53.4 割り込み制御 / ステータスレジスタ...

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

Section 16. Output Compare

Section 16. Output Compare 注 意 : この 日 本 語 版 文 書 は 参 考 資 料 としてご 利 用 ください 最 新 情 報 は 必 ずオリジ ナルの 英 語 版 をご 参 照 願 います セクション. 出 力 コンペア 出 力 コンペア ハイライト 本 セクションには 以 下 の 主 要 項 目 を 記 載 しています.1 はじめに...-2.2 出 力 コンペアレジスタ...-3.3 動 作...-6.4 割 り

More information

#define HOUR 0x04 #define DAY 0x05 #define WEEKDAY 0x06 #define MONTH 0x07 #define YEAR 0x08 #define CKOUT 0x0D #define CTRLT 0x0E // CLKOUT // TIMER

#define HOUR 0x04 #define DAY 0x05 #define WEEKDAY 0x06 #define MONTH 0x07 #define YEAR 0x08 #define CKOUT 0x0D #define CTRLT 0x0E // CLKOUT // TIMER ****************** RTC clock with thermo & moisture meter by PIC12F1827 LCD display and internal clock By nobcha all right reserved Ver 1.0 10/14/2012 PIC16F1827 4bits paralell LCD PIC12F1827 + LCD + RTC8564NB

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

1 4 8 (DC) PWM.

1 4 8 (DC) PWM. 4 8 (DC) Web http://www.mybook-pub-site.sakura.ne.jp/motor Drive note/index.html 1 4 8 (DC) 2 4.1.................................... 2 4.2............................. 6 4.3............... 7 4.4 1.......................

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

#include "uart.h" // #define RTC8583 0xA0 // RTC address #define CTRL 0x00 // RTC register notation START/STOP #defin

#include uart.h // #define RTC8583 0xA0 // RTC address #define CTRL 0x00 // RTC register notation START/STOP #defin ****************** RTC clock with thermo & moisture meter by PIC12F1829 LCD display and serial output with internal clock By nobcha all right reserved Reffer to 05/22/2014 PIC16F1827+RTC8564NB + SHT-11

More information

PIC24FJ32GA002 で使える通信方式 デジタル通信 *UART (Universal Asynchronous Receiver Transmitter) 3 線方式 (Tx, Rx, Gnd) 下の 2 つに比べて, 比較的遠方までデータを送受信するのに使う. 調歩同期方式 ( 事前に通

PIC24FJ32GA002 で使える通信方式 デジタル通信 *UART (Universal Asynchronous Receiver Transmitter) 3 線方式 (Tx, Rx, Gnd) 下の 2 つに比べて, 比較的遠方までデータを送受信するのに使う. 調歩同期方式 ( 事前に通 本日の内容 UART とは? UART によるデータ送信 UART によるデータ受信 & 送信 第 3 回 PIC24FJ32GA002 で使える通信方式 デジタル通信 *UART (Universal Asynchronous Receiver Transmitter) 3 線方式 (Tx, Rx, Gnd) 下の 2 つに比べて, 比較的遠方までデータを送受信するのに使う. 調歩同期方式 ( 事前に通信速度

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

アプリケーションノート AS-E402サンプルプログラム

アプリケーションノート AS-E402サンプルプログラム GR-SAKURA 用拡張ボード AS-E402 サンプルプログラム アプリケーションノート 1. 概要 GR-SAKURA AS-E402 CubeSuite+ 2. 用意するもの AS-E402 GR-SAKURA E1 DC GR-SAKURA AC E1 CubeSuite+ V2.01.00 3. サンプルプログラムの動作 AS-E402 LED SW1 LED1 SW2 LED2 LED3

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

39734a_JP.fm

39734a_JP.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 46 セクション 46 スケーラブルコンパレータモジュール スケーラブルコンパレータモジュール ハイライト 本セクションでは 以下の項目について説明します 46.1 はじめに...46-2 46.2 制御レジスタ...46-4 46.3 コンパレータの動作...46-7 46.4 コンパレータ応答時間...46-7

More information

TH-42PAS10 TH-37PAS10 TQBA0286

TH-42PAS10 TH-37PAS10 TQBA0286 TH-42PAS10 TH-37PAS10 TQBA0286 2 4 8 10 11 17 18 20 21 22 23 24 25 26 27 28 29 30 31 32 33 38 42 44 46 50 51 52 53 54 3 4 5 6 7 8 3 4 1 2 9 5 6 1 4 2 3 5 6 10 11 1 2 3 4 12 13 14 TH-42PAS10 TH-42PAS10

More information

LC304_manual.ai

LC304_manual.ai Stick Type Electronic Calculator English INDEX Stick Type Electronic Calculator Instruction manual INDEX Disposal of Old Electrical & Electronic Equipment (Applicable in the European Union

More information

3 4 PIC

3 4 PIC PIC 16 2 9 3 4 PIC 5 7 4-1 4-2 4-3 4-4 4-5 4-6 4-7 4-8 4-9 7 7 7 0 7 0 7 11 13 14 15 19 5-1 5-2 5-3 19 19 19 5-4 20 5-5 20 5-6 22 5-7 23 5-8 25 5-9 26 5-10 27 29 6-1 29 6-2 29 6-3 29 1 6-4 IC 30 6-5 31

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

<参考>

<参考> B-ISDN User-Network Interface - Physical Layer Specification for 25600kbit/s 1.1 2002 3 1 THE TELECOMMUNICATION TECHNOLOGY COMMITTEE ... 3... 4 1.1... 4 1.2... 4 kbit/s... 4 2.1... 4 2.1.1... 4 2.1.2...

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 磁気コンパスの試作 ~ データ送信の無線化 ~ 液晶表示 電源 5V 位 ICSP PICKit3 PIC:16F1827 液晶表示器 ACM1602NI-FLW-FBW-M01 液晶表示器 AQM0802A-RN-GBW PIC16F1827 完成版 変更点 :2015.1.23 2015.1.30 倒立振子デモ 2015.1.22 倒立振子, グラフィッデモ 2014.12.18 グラフィックデモ

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

【注意事項】RX Driver Package、 RXファミリ RTC モジュール Firmware Integration Technology

【注意事項】RX Driver Package、 RXファミリ RTC モジュール Firmware Integration Technology 注意事項 RX Driver Package RX ファミリ RTC モジュール Firmware Integration Technology 概要 RX Driver Package および RX ファミリ RTC モジュール Firmware Integration Technology( 以下 RTC FIT モジュール ) の使用上の注意事項を連絡します 1. R_RTC_Read 関数における時刻読み出し処理の注意事項

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

39733a.fm

39733a.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 45. 拡張データ空間 (EDS) を備えたデータメモリ ハイライト 本セクションには下記の主要項目を記載しています 45.1 はじめに... 45-2 45.2 データメモリの構成... 45-3 45.3 拡張データ空間... 45-7 45.4 データ配置... 45-14

More information

Z7000操作編_本文.indb

Z7000操作編_本文.indb 2 8 17 37Z700042Z7000 46Z7000 28 42 52 61 72 87 2 3 12 13 6 7 3 4 11 21 34 61 8 17 4 11 4 53 12 12 10 75 18 12 42 42 13 30 42 42 42 42 10 62 66 44 55 14 25 9 62 65 23 72 23 19 24 42 8 26 8 9 9 4 11 18

More information

PIC18 Istructios PIC16, PIC x Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52

PIC18 Istructios PIC16, PIC x Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52 PIC18 2003 Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 51 PIC18 Istructios PIC16, PIC17 16 16 8x8 2003 Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52 PIC18

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Introduction Purpose This training module provides an overview of debugging features in H8S Simulator. Objectives Understand how to use the Simulator.

Introduction Purpose This training module provides an overview of debugging features in H8S Simulator. Objectives Understand how to use the Simulator. Introduction Purpose This training module provides an overview of debugging features in H8S Simulator. Objectives Understand how to use the Simulator. Explore various debugging features: CPU status, registers,

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

2

2 L C -24K 9 L C -22K 9 2 3 4 5 6 7 8 9 10 11 12 11 03 AM 04 05 0 PM 1 06 1 PM 07 00 00 08 2 PM 00 4 PM 011 011 021 041 061 081 051 071 1 2 4 6 8 5 7 00 00 00 00 00 00 00 00 30 00 09 00 15 10 3 PM 45 00

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

25 II :30 16:00 (1),. Do not open this problem booklet until the start of the examination is announced. (2) 3.. Answer the following 3 proble

25 II :30 16:00 (1),. Do not open this problem booklet until the start of the examination is announced. (2) 3.. Answer the following 3 proble 25 II 25 2 6 13:30 16:00 (1),. Do not open this problem boolet until the start of the examination is announced. (2) 3.. Answer the following 3 problems. Use the designated answer sheet for each problem.

More information

2 3 12 13 6 7

2 3 12 13 6 7 2 8 17 42ZH700046ZH700052ZH7000 28 43 54 63 74 89 2 3 12 13 6 7 3 4 11 21 34 63 65 8 17 4 11 4 55 12 12 10 77 56 12 43 43 13 30 43 43 43 43 10 45 14 25 9 23 74 23 19 24 43 8 26 8 9 9 4 8 30 42 82 18 43

More information

untitled

untitled 1050259 16 2 22 1 1 DC DC 2 20 TRIZ PIC PIC MPLAB IDE PIC16F84A PIC16F876 DC 3 20 20 PIC 4 16*32 24*72 ( 1-1) 5 ON,OFF 1-2 & 10ms 6 7 2-1 8 2 PWM Microchip Technology PIC 9 1 H PIC 10 PID 90g PWM P I PWM

More information

EQUIUM EQUIUM 1

EQUIUM EQUIUM 1 EQUIUM EQUIUM 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 8 9 4 1 2 3 5 1 2 3 1 2 3 4 5 6 7 6 1 3 7 1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 4 5 6 7 8 9 10 1 1 2 3 4 12 1 13 14 1 15 16 1 17 18 1 1 1 19 1 2 20 3 1

More information

untitled

untitled ( ) () ( ) 1 1 TX19A31_AG3 TX19A/H1 CPUTMP19A31CYFG AG3 AG3 2 2 3 TX19A31_AG3 CPU 32 RISC TMP19A31CYFG (U1) 80MHz ROM 32Mbit ROM 1 ROM (U8) 16Mbit Flash ROM 1 (U9) RAM 4Mbit SRAM 1 (U10) I/F RS232C 1chSIO

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2 HB102050A0-4 制限 補足 Esc Enter Esc Enter Esc Enter Main Advanced Server Security Boot Exit A SATA Configuration SATA Controller(s) SATA Mode Selection [Enabled] [RAID] Determines how

More information

2007-Kanai-paper.dvi

2007-Kanai-paper.dvi 19 Estimation of Sound Source Zone using The Arrival Time Interval 1080351 2008 3 7 S/N 2 2 2 i Abstract Estimation of Sound Source Zone using The Arrival Time Interval Koichiro Kanai The microphone array

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

MCPC BT Watch Technical Reference

MCPC BT Watch Technical Reference 1 2 3 4 5 6 7 8 9 10 11 12 13 optional condition user action message to user Procedure initiate by Procedure initiate by signal sent by signal sent by optional procedure optional signal Cellular Phone

More information

MIDI_IO.book

MIDI_IO.book MIDI I/O t Copyright This guide is copyrighted 2002 by Digidesign, a division of Avid Technology, Inc. (hereafter Digidesign ), with all rights reserved. Under copyright laws, this guide may not be duplicated

More information

POE.dvi

POE.dvi PoE... 2 PoE... 2... 2... 3... 3... 5... 5 DISABLE POE PORT... 6 ENABLE POE PORT... 8 SET POE DETECT.... 10 SETPOEPORT... 11 SET POE THRESHOLD... 13 SHOW POE... 14 SHOW POE PORT... 17 PoE CentreCOM FS900M

More information

H8000操作編

H8000操作編 8 26 35 32H800037H800042H8000 49 55 60 72 2 3 4 48 7 72 32 28 7 8 9 5 7 9 22 43 20 8 8 8 8 73 8 13 7 7 7 55 10 49 49 13 37 49 49 49 49 49 49 12 50 11 76 8 24 26 24 24 6 1 2 3 18 42 72 72 20 26 32 80 34

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

"CAS を利用した Single Sign On 環境の構築"

CAS を利用した Single Sign On 環境の構築 CAS Single Sign On (Hisashi NAITO) naito@math.nagoya-u.ac.jp Graduate School of Mathematics, Nagoya University naito@math.nagoya-u.ac.jp, Oct. 19, 2005 Tohoku Univ. p. 1/40 Plan of Talk CAS CAS 2 CAS Single

More information

MINAS取説アブソ警告クリア方法記載ミス_モータニュース…

MINAS取説アブソ警告クリア方法記載ミス_モータニュース… 194 201 228 235 268 275 Absolute System Battery installation Initial installation Connect the lead wire from the battery unit top to its own connector. Wait for 5 minutes and then install the battery to

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

2 3 12 13 6 7

2 3 12 13 6 7 02 08 22AV55026AV550 17 25 32 22AV550 26AV550 39 50 2 3 12 13 6 7 3 4 11 8 8 9 9 8 9 23 8 9 17 4 11 4 33 12 12 11 24 18 12 10 21 39 21 4 18 18 45 45 11 5 6 7 76 39 32 12 14 18 8 1 2 32 55 1 2 32 12 54

More information

2

2 L C -60W 7 2 3 4 5 6 7 8 9 0 2 3 OIL CLINIC BAR 4 5 6 7 8 9 2 3 20 2 2 XXXX 2 2 22 23 2 3 4 5 2 2 24 2 2 25 2 3 26 2 3 6 0 2 3 4 5 6 7 8 9 2 3 0 2 02 4 04 6 06 8 08 5 05 2 3 4 27 2 3 4 28 2 3 4 5 2 2

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

HPB16_表1-表4.ai

HPB16_表1-表4.ai 03-5324-762406-6886-9300 03-5324-760806-6886-8218 03-5324-760606-6886-5035 http://just-hpb.jp/ Contents 4988637153787 4988637153794 4988637153930 4988637153947 41 4988637153800 4988637153848 4988637153954

More information

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Quartus II ハンドブック  Volume 5、セクションIV. マルチプロセッサの調整 IV. SOPC Builder Nios II 9 Avalon Mutex 10 Avalon Mailbox 9 10 / 9 v5.1.0 2005 5 v5.0.0 Nios II 2004 12 v1.0 10 v5.1.0 2005 5 v5.0.0 Altera Corporation IV 1 Quartus II Volume 5 IV 2 Altera Corporation

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094106 Rev. G Seiko Epson Corporation 2015-2018. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 ... 71 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F N

More information

BGP ( ) BGP4 community community community community July 3, 1998 JANOG2: What is BGP Community? 2

BGP ( ) BGP4 community community community community July 3, 1998 JANOG2: What is BGP Community? 2 BGP Community 1998/7/3 JANOG#2 in KDD (yahagi@itjit.ad.jp) July 3, 1998 JANOG2: What is BGP Community? 1 BGP ( ) BGP4 community community community community July 3, 1998 JANOG2: What is BGP Community?

More information

Microsoft PowerPoint - timer_pwm2.pptx

Microsoft PowerPoint - timer_pwm2.pptx タイマー割込みと PWM 周波数設定の演習 timer_pwm2 PSoC Experiment Lab Experiment Course Material V1.20 June 25 th., 2019 timer_pwm2.pptx (17Slides) Renji Mikami MIKAMI CONSULTING タイマー割込みを使用して PWM で音楽を演奏 ラボドレミ タイマーからの割り込みと

More information

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル DL1720/DL1740 IM 701710-01 http://www.yokogawa.co.jp/measurement/ 0120-137046 tmi-cs@csv.yokogawa.co.jp FAX 0422-52-6624 Disk No. DL30 2nd Edition : July 2001 (YK) All Rights Reserved, Copyright 2001 Yokogawa

More information

RTX830 取扱説明書

RTX830 取扱説明書 RTX830 JA 1 2 3 4 5 6 7 8 9 10 11 external-memory performance-test go 12 13 show config 14 15 16 17 18 19 20 save 21 22 23 24 25 26 27 save RTX830 BootROM Ver. 1.00 Copyright (c) 2017 Yamaha Corporation.

More information

2. IEC61508 ISO WD IEC6150 SIL( Safety Integrity Level ) ISO WD2626 ASIL( Automotive Safety Integrity Level ) SIL/ASIL (tolerable risk) (Residu

2. IEC61508 ISO WD IEC6150 SIL( Safety Integrity Level ) ISO WD2626 ASIL( Automotive Safety Integrity Level ) SIL/ASIL (tolerable risk) (Residu Consideration of requirement of decomposition for a safety related system NEC IEC61508 ISO 26262 We considered the concept of system decomposition paying attention to the decomposition concept of the system

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094101 Rev. B Seiko Epson Corporation 2015-2016. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 Bluetooth 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

untitled

untitled PoE... 2 PoE... 2... 2... 3... 3... 4... 4... 6... 6 DISABLE POE PORT... 7 ENABLE POE PORT... 9 SET POE DETECT.... 11 SET POE GUARDBAND... 12 SET POE MANAGEMENT...... 13 SETPOEPORT... 14 SET POE THRESHOLD...

More information

Intel Memory Protection Extensions(Intel MPX) x86, x CPU skylake 2015 Intel Software Development Emulator 本資料に登場する Intel は Intel Corp. の登録

Intel Memory Protection Extensions(Intel MPX) x86, x CPU skylake 2015 Intel Software Development Emulator 本資料に登場する Intel は Intel Corp. の登録 Monthly Research Intel Memory Protection Extensions http://www.ffri.jp Ver 1.00.01 1 Intel Memory Protection Extensions(Intel MPX) x86, x86-64 2015 2 CPU skylake 2015 Intel Software Development Emulator

More information

1 138

1 138 5 1 2 3 4 5 6 7 8 1 138 BIOS Setup Utility MainAdvancedSecurityPowerExit Setup Warning Item Specific Help Setting items on this menu to incorrect values may cause your system to malfunction. Select 'Yes'

More information

REV. A MUSTANG GT40 MUSTANG GT100 MUSTANG GT200

REV. A MUSTANG GT40 MUSTANG GT100 MUSTANG GT200 REV. A MUSTANG GT40 MUSTANG GT100 MUSTANG GT200 1 AUX INPUT GAIN VOLUME TREBLE MIDDLE BASS MASTER A. B. C. D. E. F. G. H. I. J. K. L. 2 M. GAIN VOLUME TREBLE MIDDLE BASS MASTER N. O. P. Q. R. S. 3 ENCODER

More information

WinPSKユーザーズガイド

WinPSKユーザーズガイド 8 ae4jy@mindspring.com - 1 - - 2 - 5 5.. 5 5 5.. 6 6 1 1 1 1 1 1 1 1-3 - Status Bar 36-4 - Peter Martinez, WinPSK MHz Pentium Windows95,98 NT4.0 DX VGA640x480 Help MB RAM CPU WinPSK CPU CPU Too Slow CPU

More information

2

2 8 24 32C800037C800042C8000 32 40 45 54 2 3 24 40 10 11 54 4 7 54 30 26 7 9 8 5 6 7 9 8 18 7 7 7 40 10 13 12 24 22 22 8 55 8 8 8 8 1 2 3 18 11 54 54 19 24 30 69 31 40 57 23 23 22 23 22 57 8 9 30 12 12 56

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書 C120-E285-10Z2 FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 - for Oracle Solaris - () FUJITSU ULTRA LVD SCSI Host Bus Adapter 3.0 SCSI/SAS SCSI/SAS HBA(Host Bus Adapter) WARNING:

More information

ZV500操作編_本文.indb

ZV500操作編_本文.indb 2 8 17 37ZV50042ZV500 28 42 52 61 72 87 2 3 12 13 6 7 3 4 11 21 34 61 8 17 4 11 4 53 12 12 10 75 18 12 42 42 13 30 42 42 42 42 10 44 55 14 25 9 62 65 23 72 23 19 24 42 8 26 8 9 9 4 11 10 18 41 80 5 6 7

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information