C ImpulseC[2] CyberWorkBench[3] LegUp[4] Java JHDL[5] Lime[6] JavaRock[7] HDL IP CFD Fortran Java JavaRock-Thrash[1] Verilog HDL HW Fortran Java HW Fo

Size: px
Start display at page:

Download "C ImpulseC[2] CyberWorkBench[3] LegUp[4] Java JHDL[5] Lime[6] JavaRock[7] HDL IP CFD Fortran Java JavaRock-Thrash[1] Verilog HDL HW Fortran Java HW Fo"

Transcription

1 Fortran FortRock GPU FPGA FPGA HDL C Java Fortran Fortran C Java Fortran Java JavaRock-Thrash Verilog HDL Fortran Verilog HDL FortRock FPGA Fortran Hardware Acceleration for High Performance Computing with Fortran and a High-Level Synthesis Tool FortRock Takahiro Yamashita 1 Yuta Ikarashi 1 Hironori Nakajo 1 Abstract: In recent years, with growth of using GPU and FPGA, hardware acceleration in simulation of Computational Fluid Dynamics (CFD) is focused. In designing circuits with an FPGA, high level synthesis with a C or a Java language as well as an HDL is utilized to describe complex algorithms. However, there still exist a large amount of heritage of Fortran programs in high performance computing also still have been improved. For Fortran programs, we have re-written them into Java source codes and have converted into a Verilog HDL codes with our HLS called JavaRock-Thrash. Due to experiences and knowledge, we have been developing FortRock which converts a Fortran source code into a Verilog HDL code directly to utilize software heritage in high performance computing. Keywords: High Level Synthesis, FPGA, Fortran, Acceleration, High Performance Computing 1. GPU Computational Fluid Dynamics CFD 1 Tokyo University of Agriculture and Technology Field Programmable Gate Array (FPGA) (HW) FPGA HW (HDL) C Java HDL c 2014 Information Processing Society of Japan 90

2 C ImpulseC[2] CyberWorkBench[3] LegUp[4] Java JHDL[5] Lime[6] JavaRock[7] HDL IP CFD Fortran Java JavaRock-Thrash[1] Verilog HDL HW Fortran Java HW Fortran C Fortran FPGA ( HW ) HW Fortran Java JavaRock-Thrash(JRT) F2JRT (Fortran to JavaRock-Thrash) F2JRT JRT Verilog HDL Fortran FPGA JRT Fortran Fortran HDL Fortran Verilog HDL FortRock Fortran JRT Java F2JRT FortRock 2. CFD FPGA HW [8] (JAXA) UPACS[9] Fortran 2,000 8 HW 8 ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) ( 6 ) CFD FORTRAN FPGA ( 7 ) ( 8 ) IP (1) (5) HW (6) (7) (6) (7) CFD CFD HW [10] UPACS JAXA FaSTAR[11] HW 8 (3) FaSTAR Out-Of-Order HW FPGA CPU 2.35 UPACS FaSTAR Fortran HDL CFD 2.1 JRT c 2014 Information Processing Society of Japan 91

3 JRT 3. Fortran Java F2JRT 3.1 F2JRT Fortran JavaRock-Thrash Fortran FPGA JRT Java F2JRT Java GOTO Fortran GOTO F2JRT GOTO GOTO GOTO GOTO (Fortran ) JRT JRT ( ) F2JRT Fortran ( ) F2JRT 4. FortRock Fortran Verilog HDL FortRock FortRock Fortran Verilog HDL Fortran HW 4.1 FortRock FortRock Fortran HW FPGA HW FPGA FortRock 4.2 FortRock 1 FortRock FortRock Fortran config 2 Fortran Verilog HDL config emacs Org-mode (.org) Dragonegg(gfortran) Dragonegg LLVM GCC(GNU Compiler Collection) LLVM LLVM IR(LLVM Intermediate Representation) LLVM [12] (API) Veriog HDL 4.3 FortRock FortRock Fortran SUBROUTINE 1 c 2014 Information Processing Society of Japan 92

4 組込みシステムシンポジウム 2014 ジュールとして出力する そのため FortRock は 1 ソー ジュールをテストするためのテストベンチを Fortran の スファイルごとに 1 つのモジュールを定義しているものと テストコードとして記述することができる これにより 仮定して処理を実行する また モジュールには 予め 2 1 ソースファイルで HW とソフトウェアの両方の記述がで 入力と 1 出力が予約されている 2 つの入力 res と clk きる は それぞれモジュールの初期化 (処理の実行開始) とク 図 4 にサブルーチン内部で別のサブルーチンを呼び出す ロックを入力する 出力 fin は モジュールの処理が完 例を示す また その変換後のブロック図を図 5 に示す 了した (モジュールのステートマシンが終了状態になった) この例では TOP モジュールが SUB モジュールを利用す ときに 1 を出力する るという状態を Fortran を用いて記述した Fortran ソー 図 2 に CALC という SUBROUTINE が Fortran で定義 スコードでは 通常通り関数として SUB を呼び出してい されている記述例を示す その変換後のブロック図を図 3 る 実際の Verilog ソースコード上では TOP モジュール に示す この例では パラメータには A B C RET の に SUB モジュールが含まれる形になり fin res 信号 4 つが定義されているが SUBROUTINE の入力は複数の を用いて SUB モジュールを呼び出している 呼び出し処 パラメータ 出力は末尾に記述されたパラメータを用いる 理は FortRock が自動的に記述する また config ファイルで指定することによって 複数の出 力を定義することもできる これより入出力ポートを明示 4.4 スケジューリング/バインディングアルゴリズム 的に指定することが可能となる CALC モジュールには 高位合成ツールの出力する回路の性能は スケジューリ A B C RET の入出力信号に加えて 前述の通り res ング/バインディングアルゴリズムに大きく左右される fin というそれぞれ入力 出力信号が追加で定義される スケジューリングとは 各 Data Flow Graph (DFG) の実 SUBROUTINE がモジュールとして扱われるため 親 行サイクルを決定する処理である FortRock では LLVM ルーチンでの SUBROUTINE 呼び出しは Verilog ではサ IR から CDFG (Control DFG) を作成し CDFG レベルで ブモジュールの接続として定義される したがって モ のスケジューリング 最適化を行う CDFG とは制御依存 とデータ依存の両方を一つのグラフに表したものである スケジューリングアルゴリズムは 資源制約スケジュー リングと時間制約スケジューリングに大別される また SoC FPGA では 回路面積がコストに直結するため 高 図 1 FortRock のコンパイルフロー Fig. 1 Compilation Flow of FortRock 図 4 SUBROUTINE の演算器化 (記述例) Fig. 4 SUBROUTINE modulation example(description) 図 2 SUBROUTINE 記述例 (Fortran ソースコード) Fig. 2 SUBROUTINE description example (Fortran) 図 3 SUBROUTINE 変換例 (ブロック図) Fig. 3 SUBROUTINE translation example (Block diagram) 2014 Information Processing Society of Japan 図 5 SUBROUTINE の演算器化 (ブロック図) Fig. 5 SUBROUTINE modulation example(block diagram) 93

5 FortRock ASAP(as soon as possible)[13] ALAP(as late as possible) ASAP ALAP FortRock [14] ASAP ALAP FortRock config config FortRock config 4.5 FortRock FortRock Fortran Verilog HDL FortRock Fortran Verilog HDL Fortran 6 FortRock Verilog HDL 7 2 LLVM IR Fortran fin / SUBROUTINE LCM( I, J, r e t l c m ) INTEGER I, J, IR1, IR2, IR, r e t l c m IF ( I < J ) THEN IR1 = J IR2 = I ELSE IR1 = I IR2 = J ENDIF IR = IR1 ( IR1 /IR2 ) IR2 DO WHILE( IR>0) IR1 = IR2 IR2 = IR IR = IR1 ( IR1 /IR2 ) IR2 ENDDO ret lcm = I J / IR2 RETURN END Fig. 6 6 (Fortran ) LCM program example (Fortran source code) module l c m o p t ( c l k, r e s, f i n, r e g i, r e g j, r e g r e t l c m ) ; input clk, res ; o u t p u t f i n ; r e g f i n ; i n p u t [ 3 1 : 0 ] r e g i, r e g j ; o u t p u t [ 3 1 : 0 ] r e g r e t l c m ; reg reg tmp2, reg tmp4, reg tmp8 ; r e g [ 1 : 0 ] p r e v s t a t e, c u r r e n t s t a t e ; reg [ 3 1 : 0 ] reg ret lcm, reg tmp, reg tmp1, reg, reg 1, reg tmp3, reg tmp6, reg tmp7, reg tmp5, reg tmp9, r e g l c s s a, r e g t m p 1 0 ; posedge c l k ) i f ( r e s ) f i n = 1 b0 ; r e g r e t l c m = 3 2 b0 ; p r e v s t a t e = 2 b0 ; c u r r e n t s t a t e = 2 b0 ; // i f r e s e l s e i f ( f i n == 1 b0 ) c a s e ( c u r r e n t s t a t e ) 2 d0 : r e g t m p = r e g i ; r e g t m p 1 = r e g j ; reg tmp2 = ( reg tmp < reg tmp1 ) ; r e g = ( r e g t m p 2 == 1 b1 )? r e g t m p 1 : r eg tmp ; re g 1 = ( reg tmp2 == 1 b1 )? reg tmp : reg tmp1 ; r e g t m p 3 = r e g % r e g 1 ; r e g t m p 4 = ( r e g t m p 3 < 1 ) ; p r e v s t a t e = c u r r e n t s t a t e ; c u r r e n t s t a t e = ( 1 b1 == r e g t m p 4 )? 2 d2 : 2 d1 ; 2 d1 : c a s e ( p r e v s t a t e ) 2 d1 : reg tmp5 = reg tmp6 ; 2 d0 : r e g t m p 5 = r e g 1 ; c a s e ( p r e v s t a t e ) 2 d1 : reg tmp6 = reg tmp7 ; 2 d0 : reg tmp6 = reg tmp3 ; reg tmp7 = reg tmp5 % reg tmp6 ; r e g t m p 8 = ( r e g t m p 7 < 1 ) ; p r e v s t a t e = c u r r e n t s t a t e ; c u r r e n t s t a t e = ( 1 b1 == r e g t m p 8 )? 2 d2 : 2 d1 ; 2 d2 : c a s e ( p r e v s t a t e ) 2 d0 : r e g l c s s a = r e g 1 ; 2 d1 : r e g l c s s a = r e g t m p 6 ; reg tmp9 = reg tmp1 reg tmp ; r e g t m p 1 0 = r e g t m p 9 / r e g l c s s a ; p r e v s t a t e = c u r r e n t s t a t e ; c u r r e n t s t a t e = 2 b11 ; 2 b11 : f i n = 1 b1 ; // i f f i n // a l w a y s module Fig. 7 7 (Verilog HDL ) Converted example (Verilog HDL code) c 2014 Information Processing Society of Japan 94

6 CDFG ( ) Tree- HeightReduction CDFG CDFG CDFG DOT DOT Graphviz Graphviz AT&T CDFG LLVM IR RTL ( ( )) FortRock FortRock ( ) FortRock 5. Fortran HDL Java F2JRT Fortran Verilog HDL FortRock FortRock LLVM FortRock Dragonegg(gfortran) FortRock LLVM Fortran HW JSPS (C) [1] : JavaRock- Thrash (ESS2013), pp.41-48, ( ). [2] Impulse accelerated technology: [3] CyberWorkBench: [4] Canis, A., Choi, J., Aldham, M., Zhang, V., Kammoona, A., Anderson, J. H., Brown, S. and Czajkowski, T.: LegUp: high-level synthesis for FPGAbased processor/accelerator systems, Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays, pp (2011). [5] JHDL: [6] Auerbach, J., Bacon, D. F., Cheng, P. and Rabbah, R.: Lime: a Java-compatible and synthesizable language for heterogeneous architectures, Proceedings of the ACM international conference on Object oriented programming systems languages and applications, pp (2010). [7] JavaRock: [8] : CFD FPGA, 23, E2-3 (2009). [9] CFD UPACS 99 (1999). [10],,,, Fastar Out-Of- Order (). RECONF,, Vol.111, No.31, pp (2011.5). [11] FaSTAR 42 / 2010 (2010). [12] The LLVM Compiler Infrastructure, [13] Giovanni De Micheli: Synthesis and Optimization of Digital Circuit, McGraw-Hill Higher Education (1994). [14] M. J. M. Heijligers and J. A. G. Jess: High-level synthesis scheduling and allocation using genetic algorithms based on constructive topological scheduling techniques. Proceedings of the ASP-DAC95/CHDL95/VLSI95. Asia and South Pacific Design Automation Conference. IFIP International conference on Computer Hardware Description Languages and their Applications. IFIP International Conference on Very Large Scale Integration, pp (1995). c 2014 Information Processing Society of Japan 95

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

13金子敬一.indd

13金子敬一.indd 1 1 Journal of Multimedia Aided Education Research, 2004, No. 1, 115122 ED21 1 2 2 WWW 158 34 Decker 3 ED21 ED21 1 ED21 1 CS 1 2 ED213 4 5 ED21 ED21 ED21 ED9900 9 EL21 EE21 EC21 ED9900 JavaApplet JavaApplet

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

2006 [3] Scratch Squeak PEN [4] PenFlowchart 2 3 PenFlowchart 4 PenFlowchart PEN xdncl PEN [5] PEN xdncl DNCL 1 1 [6] 1 PEN Fig. 1 The PEN

2006 [3] Scratch Squeak PEN [4] PenFlowchart 2 3 PenFlowchart 4 PenFlowchart PEN xdncl PEN [5] PEN xdncl DNCL 1 1 [6] 1 PEN Fig. 1 The PEN PenFlowchart 1,a) 2,b) 3,c) 2015 3 4 2015 5 12, 2015 9 5 PEN & PenFlowchart PEN Evaluation of the Effectiveness of Programming Education with Flowcharts Using PenFlowchart Wataru Nakanishi 1,a) Takeo Tatsumi

More information

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF a m

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF   a m Vol.55 No.1 2 15 (Jan. 2014) 1,a) 2,3,b) 4,3,c) 3,d) 2013 3 18, 2013 10 9 saccess 1 1 saccess saccess Design and Implementation of an Online Tool for Database Education Hiroyuki Nagataki 1,a) Yoshiaki

More information

24 LED A visual programming environment for art work using a LED matrix

24 LED A visual programming environment for art work using a LED matrix 24 LED A visual programming environment for art work using a LED matrix 1130302 2013 3 1 LED,,,.,. Arduino. Arduino,,,., Arduino,.,, LED,., Arduino, LED, i Abstract A visual programming environment for

More information

IPSJ SIG Technical Report Vol.2012-CG-148 No /8/29 3DCG 1,a) On rigid body animation taking into account the 3D computer graphics came

IPSJ SIG Technical Report Vol.2012-CG-148 No /8/29 3DCG 1,a) On rigid body animation taking into account the 3D computer graphics came 3DCG 1,a) 2 2 2 2 3 On rigid body animation taking into account the 3D computer graphics camera viewpoint Abstract: In using computer graphics for making games or motion pictures, physics simulation is

More information

Fig. 3 3 Types considered when detecting pattern violations 9)12) 8)9) 2 5 methodx close C Java C Java 3 Java 1 JDT Core 7) ) S P S

Fig. 3 3 Types considered when detecting pattern violations 9)12) 8)9) 2 5 methodx close C Java C Java 3 Java 1 JDT Core 7) ) S P S 1 1 1 Fig. 1 1 Example of a sequential pattern that is exracted from a set of method definitions. A Defect Detection Method for Object-Oriented Programs using Sequential Pattern Mining Goro YAMADA, 1 Norihiro

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

IPSJ SIG Technical Report Vol.2018-SE-200 No /12/ Proposal of test description support environment for request acquisition in web appli

IPSJ SIG Technical Report Vol.2018-SE-200 No /12/ Proposal of test description support environment for request acquisition in web appli 1 1 1 2 Proposal of test description support environment for request acquisition in web application development Nakaji Yoshitake 1 Choi Eunjong 1 Iida Hajimu 1 Yoshida Norihiro 2 1. 1 ( ) 1 Nara Institute

More information

fiš„v8.dvi

fiš„v8.dvi (2001) 49 2 333 343 Java Jasp 1 2 3 4 2001 4 13 2001 9 17 Java Jasp (JAva based Statistical Processor) Jasp Jasp. Java. 1. Jasp CPU 1 106 8569 4 6 7; fuji@ism.ac.jp 2 106 8569 4 6 7; nakanoj@ism.ac.jp

More information

DS0 0/9/ a b c d u t (a) (b) (c) (d) [].,., Del Barrio [], Pilato [], [].,,. [],.,.,,.,.,,.,, 0%,..,,, 0,.,.,. (variable-latency unit)., (a) ( DFG ).,

DS0 0/9/ a b c d u t (a) (b) (c) (d) [].,., Del Barrio [], Pilato [], [].,,. [],.,.,,.,.,,.,, 0%,..,,, 0,.,.,. (variable-latency unit)., (a) ( DFG )., DS0 0/9/,.,,.,,,.,.,.0%,.%.,,,, Speculative Execution in Distributed Controllers for High-Level Synthesis Shimizu iho Ishiura Nagisa bstract: This article proposes a method of incorporating speculative

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

1 1 CodeDrummer CodeMusician CodeDrummer Fig. 1 Overview of proposal system c

1 1 CodeDrummer CodeMusician CodeDrummer Fig. 1 Overview of proposal system c CodeDrummer: 1 2 3 1 CodeDrummer: Sonification Methods of Function Calls in Program Execution Kazuya Sato, 1 Shigeyuki Hirai, 2 Kazutaka Maruyama 3 and Minoru Terada 1 We propose a program sonification

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

IPSJ SIG Technical Report Vol.2014-CG-155 No /6/28 1,a) 1,2,3 1 3,4 CG An Interpolation Method of Different Flow Fields using Polar Inter

IPSJ SIG Technical Report Vol.2014-CG-155 No /6/28 1,a) 1,2,3 1 3,4 CG An Interpolation Method of Different Flow Fields using Polar Inter ,a),2,3 3,4 CG 2 2 2 An Interpolation Method of Different Flow Fields using Polar Interpolation Syuhei Sato,a) Yoshinori Dobashi,2,3 Tsuyoshi Yamamoto Tomoyuki Nishita 3,4 Abstract: Recently, realistic

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

Input image Initialize variables Loop for period of oscillation Update height map Make shade image Change property of image Output image Change time L

Input image Initialize variables Loop for period of oscillation Update height map Make shade image Change property of image Output image Change time L 1,a) 1,b) 1/f β Generation Method of Animation from Pictures with Natural Flicker Abstract: Some methods to create animation automatically from one picture have been proposed. There is a method that gives

More information

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for 1 2 3 3 1 Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for Mobile Terminals Kaoru Wasai 1 Fumio Sugai 2 Yosihiro Kita 3 Mi RangPark 3 Naonobu

More information

IPSJ SIG Technical Report Vol.2014-DBS-159 No.6 Vol.2014-IFAT-115 No /8/1 1,a) 1 1 1,, 1. ([1]) ([2], [3]) A B 1 ([4]) 1 Graduate School of Info

IPSJ SIG Technical Report Vol.2014-DBS-159 No.6 Vol.2014-IFAT-115 No /8/1 1,a) 1 1 1,, 1. ([1]) ([2], [3]) A B 1 ([4]) 1 Graduate School of Info 1,a) 1 1 1,, 1. ([1]) ([2], [3]) A B 1 ([4]) 1 Graduate School of Information Science and Technology, Osaka University a) kawasumi.ryo@ist.osaka-u.ac.jp 1 1 Bucket R*-tree[5] [4] 2 3 4 5 6 2. 2.1 2.2 2.3

More information

Vol.53 No (Mar. 2012) 1, 1,a) 1, 2 1 1, , Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a

Vol.53 No (Mar. 2012) 1, 1,a) 1, 2 1 1, , Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a 1, 1,a) 1, 2 1 1, 3 2 1 2011 6 17, 2011 12 16 Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a) Kazuki Kanamori 1, 2 Mie Nakatani 1 Hirokazu Kato 1, 3 Sanae H. Wake 2 Shogo Nishida

More information

2. Eades 1) Kamada-Kawai 7) Fruchterman 2) 6) ACE 8) HDE 9) Kruskal MDS 13) 11) Kruskal AGI Active Graph Interface 3) Kruskal 5) Kruskal 4) 3. Kruskal

2. Eades 1) Kamada-Kawai 7) Fruchterman 2) 6) ACE 8) HDE 9) Kruskal MDS 13) 11) Kruskal AGI Active Graph Interface 3) Kruskal 5) Kruskal 4) 3. Kruskal 1 2 3 A projection-based method for interactive 3D visualization of complex graphs Masanori Takami, 1 Hiroshi Hosobe 2 and Ken Wakita 3 Proposed is a new interaction technique to manipulate graph layouts

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

, : GUI Web Java 2.1 GUI GUI GUI 2 y = x y = x y = x

, : GUI Web Java 2.1 GUI GUI GUI 2 y = x y = x y = x J.JSSAC (2005) Vol. 11, No. 3,4, pp. 77-88 Noda2005 MathBlackBoard MathBlackBoard is a Java program based on the blackboard applet. We can use the blackboard applet with GUI operations. The blackboard

More information

User-defined Logic Application Memory Manager (Replacement) Application Specific Prefetcher (ASP) Application Kernel On-chip RAM (BRAM) On-chip RAM I/

User-defined Logic Application Memory Manager (Replacement) Application Specific Prefetcher (ASP) Application Kernel On-chip RAM (BRAM) On-chip RAM I/ RTL 1,2,a) 1,b) CPU Verilog HDL RTL 1. CPU GPU Verilog HDL VHDL RTL HDL Vivado HLS Impulse C CPU 1 2 a) takamaeda@arch.cs.titech.ac.jp b) kise@cs.titech.ac.jp RTL RTL RTL Verilog HDL RTL 2. 1 HDL 1 User-defined

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

The copyright of this material is retained by the Information Processing Society of Japan (IPSJ). The material has been made available on the website

The copyright of this material is retained by the Information Processing Society of Japan (IPSJ). The material has been made available on the website The copyright of this material is retained by the Information Processing Society of Japan (IPSJ). The material has been made available on the website by the author(s) under the agreement with the IPSJ.

More information

IPSJ SIG Technical Report Vol.2010-GN-74 No /1/ , 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KU

IPSJ SIG Technical Report Vol.2010-GN-74 No /1/ , 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KU 1 2 2 1, 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KUNIAKI SUSEKI, 2 KENTARO NAGAHASHI 2 and KEN-ICHI OKADA 1, 3 When there are a lot of injured people at a large-scale

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

IPSJ SIG Technical Report Vol.2013-GN-86 No.35 Vol.2013-CDS-6 No /1/17 1,a) 2,b) (1) (2) (3) Development of Mobile Multilingual Medical

IPSJ SIG Technical Report Vol.2013-GN-86 No.35 Vol.2013-CDS-6 No /1/17 1,a) 2,b) (1) (2) (3) Development of Mobile Multilingual Medical 1,a) 2,b) 3 24 3 (1) (2) (3) Development of Mobile Multilingual Medical Communication Support System and Its Introduction for Medical Field Shun Ozaki 1,a) Takashi Yoshino 2,b) Aguri Shigeno 3 Abstract:

More information

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2 CHLAC 1 2 3 3,. (CHLAC), 1).,.,, CHLAC,.,. Suspicious Behavior Detection based on CHLAC Method Hideaki Imanishi, 1 Toyohiro Hayashi, 2 Shuichi Enokida 3 and Toshiaki Ejima 3 We have proposed a method for

More information

VDM-SL ISO.VDM++ VDM-SL VDM- RT VDM++ VDM,.VDM, [5]. VDM VDM++.,,, [7]., VDM++.,., [7] VDM++.,,,,,,,.,,, VDM VDMTools OvertureTo

VDM-SL ISO.VDM++ VDM-SL VDM- RT VDM++ VDM,.VDM, [5]. VDM VDM++.,,, [7]., VDM++.,., [7] VDM++.,,,,,,,.,,, VDM VDMTools OvertureTo KAOS 1 1 1 1 1,.,. ( ). KAOS VDM++.,.,,, 1. 1.1,, [1].,,, [2].,, [3]. 1.2 ( ),, [3] KAOS, VDM++, KAOS VDM++ 1 Kyushu University, KAOS,, KAOS, KAOS, VDM++., 1.3 2,., 3, KAOS VDM++. 4, 3,. 5 2. 2.1,,,,,

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU PIV IHI Marine United Inc. ( IHIMU ) has already developed several

More information

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan SNS 1,a) 2 3 3 2012 3 30, 2012 10 10 SNS SNS Development of Firefighting Knowledge Succession Support SNS in Tokyo Fire Department Koutarou Ohno 1,a) Yuki Ogawa 2 Hirohiko Suwa 3 Toshizumi Ohta 3 Received:

More information

大学における原価計算教育の現状と課題

大学における原価計算教育の現状と課題 1 1.1 1.2 1.3 2 2.1 2.2 3 3.1 3.2 3.3 2014a 50 ABC Activity Based Costing LCC Lifecycle Costing MFCA Material Flow Cost Accounting 2 2 2016 9 1 2 3 2014b 2005 2014b 2000 1 2 1962 5 1 3 2 3 4 5 50 2012

More information

IPSJ SIG Technical Report Vol.2017-CLE-21 No /3/21 e 1,2 1,2 1 1,2 1 Sakai e e e Sakai e Current Status and Challenges on e-learning T

IPSJ SIG Technical Report Vol.2017-CLE-21 No /3/21 e 1,2 1,2 1 1,2 1 Sakai e e e Sakai e Current Status and Challenges on e-learning T e 1,2 1,2 1 1,2 1 Sakai e e 2012 2012 e Sakai e Current Status and Challenges on e-learning Support Service for Institution-wide and Department-wide Program at Kyoto University Shoji Kajita 1,2 Tamaki

More information

Sport and the Media: The Close Relationship between Sport and Broadcasting SUDO, Haruo1) Abstract This report tries to demonstrate the relationship be

Sport and the Media: The Close Relationship between Sport and Broadcasting SUDO, Haruo1) Abstract This report tries to demonstrate the relationship be Sport and the Media: The Close Relationship between Sport and Broadcasting SUDO, Haruo1) Abstract This report tries to demonstrate the relationship between broadcasting and sport (major sport and professional

More information

IPSJ SIG Technical Report Vol.2009-CVIM-167 No /6/10 Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing

IPSJ SIG Technical Report Vol.2009-CVIM-167 No /6/10 Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing number of HOG Features based on Real AdaBoost Chika Matsushima, 1 Yuji Yamauchi, 1 Takayoshi Yamashita 1, 2 and

More information

独立行政法人情報通信研究機構 Development of the Information Analysis System WISDOM KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the infor

独立行政法人情報通信研究機構 Development of the Information Analysis System WISDOM KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the infor 独立行政法人情報通信研究機構 KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the information analysis system WISDOM as a research result of the second medium-term plan. WISDOM has functions that

More information

[2] OCR [3], [4] [5] [6] [4], [7] [8], [9] 1 [10] Fig. 1 Current arrangement and size of ruby. 2 Fig. 2 Typography combined with printing

[2] OCR [3], [4] [5] [6] [4], [7] [8], [9] 1 [10] Fig. 1 Current arrangement and size of ruby. 2 Fig. 2 Typography combined with printing 1,a) 1,b) 1,c) 2012 11 8 2012 12 18, 2013 1 27 WEB Ruby Removal Filters Using Genetic Programming for Early-modern Japanese Printed Books Taeka Awazu 1,a) Masami Takata 1,b) Kazuki Joe 1,c) Received: November

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

IPSJ SIG Technical Report Vol.2014-HCI-158 No /5/22 1,a) 2 2 3,b) Development of visualization technique expressing rainfall changing conditions

IPSJ SIG Technical Report Vol.2014-HCI-158 No /5/22 1,a) 2 2 3,b) Development of visualization technique expressing rainfall changing conditions 1,a) 2 2 3,b) Development of visualization technique expressing rainfall changing conditions with a still picture Yuuki Hyougo 1,a) Hiroko Suzuki 2 Tadanobu Furukawa 2 Kazuo Misue 3,b) Abstract: In order

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

29 jjencode JavaScript

29 jjencode JavaScript Kochi University of Technology Aca Title jjencode で難読化された JavaScript の検知 Author(s) 中村, 弘亮 Citation Date of 2018-03 issue URL http://hdl.handle.net/10173/1975 Rights Text version author Kochi, JAPAN http://kutarr.lib.kochi-tech.ac.jp/dspa

More information

DEIM Forum 2009 B4-6, Str

DEIM Forum 2009 B4-6, Str DEIM Forum 2009 B4-6, 305 8573 1 1 1 152 8550 2 12 1 E-mail: tttakuro@kde.cs.tsukuba.ac.jp, watanabe@de.cs.titech.ac.jp, kitagawa@cs.tsukuba.ac.jp StreamSpinner PC PC StreamSpinner Development of Data

More information

Web ( ) [1] Web Shibboleth SSO Web SSO Web Web Shibboleth SAML IdP(Identity Provider) Web Web (SP:ServiceProvider) ( ) IdP Web Web MRA(Mail Retrieval

Web ( ) [1] Web Shibboleth SSO Web SSO Web Web Shibboleth SAML IdP(Identity Provider) Web Web (SP:ServiceProvider) ( ) IdP Web Web MRA(Mail Retrieval SAML PAM SSO Web 1,a) 1 1 1 Shibboleth SAML Web IMAPS Web SAML PAM IMAPS SSO Web Shibboleth Web SSO, Shibboleth, SAML, Web, Web-based mail system with SSO authentication through SAML supporting PAM Makoto

More information

IPSJ SIG Technical Report Vol.2015-SE-189 No /7/23 iarch-u 1,a) 1,b) 1,c) 1,d) Archface-U iarch-u Partial Model !" %&)*+,-./ :;<

IPSJ SIG Technical Report Vol.2015-SE-189 No /7/23 iarch-u 1,a) 1,b) 1,c) 1,d) Archface-U iarch-u Partial Model ! %&)*+,-./ :;< iarch-u 1,a) 1,b) 1,c) 1,d) Archface-U iarch-u Partial Model 1. 123+!" %&)*+,-./0 46789 :; ( 1) Archface-U [7] Archface-U Archface-U iarch-u 2 Archface-U 3 1 Kyushu University a) fukamachi@posl.ait.kyushu-u.ac.jp

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6)

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6) 1 2 1 3 Experimental Evaluation of Convenient Strain Measurement Using a Magnet for Digital Public Art Junghyun Kim, 1 Makoto Iida, 2 Takeshi Naemura 1 and Hiroyuki Ota 3 We present a basic technology

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

Q [4] 2. [3] [5] ϵ- Q Q CO CO [4] Q Q [1] i = X ln n i + C (1) n i i n n i i i n i = n X i i C exploration exploitation [4] Q Q Q ϵ 1 ϵ 3. [3] [5] [4]

Q [4] 2. [3] [5] ϵ- Q Q CO CO [4] Q Q [1] i = X ln n i + C (1) n i i n n i i i n i = n X i i C exploration exploitation [4] Q Q Q ϵ 1 ϵ 3. [3] [5] [4] 1,a) 2,3,b) Q ϵ- 3 4 Q greedy 3 ϵ- 4 ϵ- Comparation of Methods for Choosing Actions in Werewolf Game Agents Tianhe Wang 1,a) Tomoyuki Kaneko 2,3,b) Abstract: Werewolf, also known as Mafia, is a kind of

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

4) 5) ) ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) ( 6 ) )8) ( 1 ) ( 2 ) ( 3 ) ( 200 9) ( 10) 1 2 (

4) 5) ) ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) ( 6 ) )8) ( 1 ) ( 2 ) ( 3 ) ( 200 9) ( 10) 1 2 ( 2 1 2 3 4 5 2 2 2 16 2 16 3 2 Proposal of Learning Computer-aided Measurement and Control with a Bipedal Walking Robot Shuji KUREBAYASHI, 1 Daisuke HIGUCHI, 2 Wataru HISHIDA, 3 Motomasa OMURA 4 and Susumu

More information

空力騒音シミュレータの開発

空力騒音シミュレータの開発 41 COSMOS-V, an Aerodynamic Noise Simulator Nariaki Horinouchi COSMOS-V COSMOS-V COSMOS-V 3 The present and future computational problems of the aerodynamic noise analysis using COSMOS-V, our in-house

More information

1 DHT Fig. 1 Example of DHT 2 Successor Fig. 2 Example of Successor 2.1 Distributed Hash Table key key value O(1) DHT DHT 1 DHT 1 ID key ID IP value D

1 DHT Fig. 1 Example of DHT 2 Successor Fig. 2 Example of Successor 2.1 Distributed Hash Table key key value O(1) DHT DHT 1 DHT 1 ID key ID IP value D P2P 1,a) 1 1 Peer-to-Peer P2P P2P P2P Chord P2P Chord Consideration for Efficient Construction of Distributed Hash Trees on P2P Systems Taihei Higuchi 1,a) Masakazu Soshi 1 Tomoyuki Asaeda 1 Abstract:

More information

HASC2012corpus HASC Challenge 2010,2011 HASC2011corpus( 116, 4898), HASC2012corpus( 136, 7668) HASC2012corpus HASC2012corpus

HASC2012corpus HASC Challenge 2010,2011 HASC2011corpus( 116, 4898), HASC2012corpus( 136, 7668) HASC2012corpus HASC2012corpus HASC2012corpus 1 1 1 1 1 1 2 2 3 4 5 6 7 HASC Challenge 2010,2011 HASC2011corpus( 116, 4898), HASC2012corpus( 136, 7668) HASC2012corpus HASC2012corpus: Human Activity Corpus and Its Application Nobuo KAWAGUCHI,

More information

1,a) 1,b) TUBSTAP TUBSTAP Offering New Benchmark Maps for Turn Based Strategy Game Tomihiro Kimura 1,a) Kokolo Ikeda 1,b) Abstract: Tsume-shogi and Ts

1,a) 1,b) TUBSTAP TUBSTAP Offering New Benchmark Maps for Turn Based Strategy Game Tomihiro Kimura 1,a) Kokolo Ikeda 1,b) Abstract: Tsume-shogi and Ts JAIST Reposi https://dspace.j Title ターン制戦略ゲームにおけるベンチマークマップの提 案 Author(s) 木村, 富宏 ; 池田, 心 Citation ゲームプログラミングワークショップ 2016 論文集, 2016: 36-43 Issue Date 2016-10-28 Type Conference Paper Text version author

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Vol.57 No (Mar. 2016) 1,a) , L3 CG VDI VDI A Migration to a Cloud-based Information Infrastructure to Support

Vol.57 No (Mar. 2016) 1,a) , L3 CG VDI VDI A Migration to a Cloud-based Information Infrastructure to Support 1,a) 1 1 2015 6 22, 2015 12 7 L3 CG 50 600 VDI VDI A Migration to a Cloud-based Information Infrastructure to Support University Education and It s Analysis Kaori Maeda 1,a) Nobuo Suematsu 1 Toshiaki Kitamura

More information

IPSJ SIG Technical Report Vol.2013-CE-119 No /3/15 enpoly enpoly enpoly 1) 2) 2 C Java Bertrand Meyer [1] 1 1 if person greeting()

IPSJ SIG Technical Report Vol.2013-CE-119 No /3/15 enpoly enpoly enpoly 1) 2) 2 C Java Bertrand Meyer [1] 1 1 if person greeting() enpoly enpoly enpoly ) 2) 2 C Java 2 6. Bertrand Meyer [] if person greeting() if person if Faculty of Informatics, Shizuoka University, Hamamatsu, Shizuoka, 432-80, Japan C Jone[2] 2. Java Anchor Garden

More information

IPSJ SIG Technical Report Vol.2011-DBS-153 No /11/3 Wikipedia Wikipedia Wikipedia Extracting Difference Information from Multilingual Wiki

IPSJ SIG Technical Report Vol.2011-DBS-153 No /11/3 Wikipedia Wikipedia Wikipedia Extracting Difference Information from Multilingual Wiki Wikipedia 1 2 3 Wikipedia Wikipedia Extracting Difference Information from Multilingual Wikipedia Yuya Fujiwara, 1 Yu Suzuki 2 and Akiyo Nadamoto 3 There are multilingual articles on the Wikipedia. The

More information

The 15th Game Programming Workshop 2010 Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard Magic Bitboard Magic Bitbo

The 15th Game Programming Workshop 2010 Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard Magic Bitboard Magic Bitbo Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard 64 81 Magic Bitboard Magic Bitboard Bonanza Proposal and Implementation of Magic Bitboards in Shogi Issei Yamamoto, Shogo Takeuchi,

More information

自然言語処理16_2_45

自然言語処理16_2_45 FileMaker Pro E-learning GUI Phrase Reading Cloze. E-learning Language Processing Technology and Educational Material Development Generating English Educational Material using a Database Software Kenichi

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

IPSJ SIG Technical Report Vol.2014-GN-90 No.16 Vol.2014-CDS-9 No.16 Vol.2014-DCC-6 No /1/24 1,a) 2,b) 2,c) 1,d) QUMARION QUMARION Kinect Kinect

IPSJ SIG Technical Report Vol.2014-GN-90 No.16 Vol.2014-CDS-9 No.16 Vol.2014-DCC-6 No /1/24 1,a) 2,b) 2,c) 1,d) QUMARION QUMARION Kinect Kinect 1,a) 2,b) 2,c) 1,d) QUMARION QUMARION Kinect Kinect Using a Human-Shaped Input Device for Remote Pose Instruction Yuki Tayama 1,a) Yoshiaki Ando 2,b) Misaki Hagino 2,c) Ken-ichi Okada 1,d) Abstract: There

More information

論文誌用MS-Wordテンプレートファイル

論文誌用MS-Wordテンプレートファイル 組み込み向け軽量 Ruby の研究 開発 ~TJ ボードを使った CPU とハードウェア支援について ~ 佐藤雄亮 組み込みソフトウェアは私達の生活に深く密着しており 安全性も含め 高品質が要求され その開発は容易ではない 一方 組み込みソフトウェア開発の多くは C C++ 言語が用いられているが 習得が困難であったり コードが長く生産性が低いという問題がある そこで Ruby という日本製の開発言語は

More information

IPSJ SIG Technical Report Vol.2010-NL-199 No /11/ treebank ( ) KWIC /MeCab / Morphological and Dependency Structure Annotated Corp

IPSJ SIG Technical Report Vol.2010-NL-199 No /11/ treebank ( ) KWIC /MeCab / Morphological and Dependency Structure Annotated Corp 1. 1 1 1 2 treebank ( ) KWIC /MeCab / Morphological and Dependency Structure Annotated Corpus Management Tool: ChaKi Yuji Matsumoto, 1 Masayuki Asahara, 1 Masakazu Iwatate 1 and Toshio Morita 2 This paper

More information

A consideration about Moral Education based on scientific recognition: Through an analyzing of one reading, which is mainly for the field about relationship with a community and a society, in Our morality

More information

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei Just-In-Time PG 1,a) 1, 1 2 1 1 Just-In-Time VM Geyser Dalvik VM CaffeineMark SPECJVM 17% 1. LSI [1][2][3][4][5] (PG) Geyser [6][7] PG ON/OFF OS PG PG [7][8][9][10] Java Just-In-Time (JIT PG [10] JIT 1

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s 1 1 1, Extraction of Transmitted Light using Parallel High-frequency Illumination Kenichiro Tanaka 1 Yasuhiro Mukaigawa 1 Yasushi Yagi 1 Abstract: We propose a new sharpening method of transmitted scene

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

正誤表 グローバル コミュニケーション研究 第 4 号 ( 特別号 ) におきま して 以下の箇所に誤りがございました お詫びして訂正いたします 訂正箇所誤正 34 頁下から 2 行目約 45km 約 450km (2017 年 5 月 )

正誤表 グローバル コミュニケーション研究 第 4 号 ( 特別号 ) におきま して 以下の箇所に誤りがございました お詫びして訂正いたします 訂正箇所誤正 34 頁下から 2 行目約 45km 約 450km (2017 年 5 月 ) 正誤表 グローバル コミュニケーション研究 第 4 号 ( 特別号 ) におきま して 以下の箇所に誤りがございました お詫びして訂正いたします 訂正箇所誤正 34 頁下から 2 行目約 45km 約 450km (2017 年 5 月 ) Language Use and Language Awareness of Korean Returnees from Japan and Foreign Residents

More information

BOK body of knowledge, BOK BOK BOK 1 CC2001 computing curricula 2001 [1] BOK IT BOK 2008 ITBOK [2] social infomatics SI BOK BOK BOK WikiBOK BO

BOK body of knowledge, BOK BOK BOK 1 CC2001 computing curricula 2001 [1] BOK IT BOK 2008 ITBOK [2] social infomatics SI BOK BOK BOK WikiBOK BO DEIM Forum 2012 C8-5 WikiBOK 252 5258 5 10 1 E-mail: shunsuke.shibuya@gmail.com, {kaz,masunaga}@si.aoyama.ac.jp, {yabuki,sakuta}@it.aoyama.ac.jp Body Of Knowledge, BOK BOK BOK BOK BOK, BOK Abstract Extention

More information

2) TA Hercules CAA 5 [6], [7] CAA BOSS [8] 2. C II C. ( 1 ) C. ( 2 ). ( 3 ) 100. ( 4 ) () HTML NFS Hercules ( )

2) TA Hercules CAA 5 [6], [7] CAA BOSS [8] 2. C II C. ( 1 ) C. ( 2 ). ( 3 ) 100. ( 4 ) () HTML NFS Hercules ( ) 1,a) 2 4 WC C WC C Grading Student programs for visualizing progress in classroom Naito Hiroshi 1,a) Saito Takashi 2 Abstract: To grade student programs in Computer-Aided Assessment system, we propose

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Lyra 2 2 2 X Y X Y ivis Designer Lyra ivisdesigner Lyra ivisdesigner 2 ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) (1) (2) (3) (4) (5) Iv Studio [8] 3 (5) (4) (1) (

Lyra 2 2 2 X Y X Y ivis Designer Lyra ivisdesigner Lyra ivisdesigner 2 ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) (1) (2) (3) (4) (5) Iv Studio [8] 3 (5) (4) (1) ( 1,a) 2,b) 2,c) 1. Web [1][2][3][4] [5] 1 2 a) ito@iplab.cs.tsukuba.ac.jp b) misue@cs.tsukuba.ac.jp c) jiro@cs.tsukuba.ac.jp [6] Lyra[5] ivisdesigner[6] [7] 2 Lyra ivisdesigner c 2012 Information Processing

More information

2 ( ) i

2 ( ) i 25 Study on Rating System in Multi-player Games with Imperfect Information 1165069 2014 2 28 2 ( ) i ii Abstract Study on Rating System in Multi-player Games with Imperfect Information Shigehiko MORITA

More information

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels).

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig. 1 The scheme of glottal area as a function of time Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig, 4 Parametric representation

More information

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple 1 2 3 4 5 e β /α α β β / α A judgment method of difficulty of task for a learner using simple electroencephalograph Katsuyuki Umezawa 1 Takashi Ishida 2 Tomohiko Saito 3 Makoto Nakazawa 4 Shigeichi Hirasawa

More information

Publish/Subscribe KiZUNA P2P 2 Publish/Subscribe KiZUNA 2. KiZUNA 1 Skip Graph BF Skip Graph BF Skip Graph Skip Graph Skip Graph DDLL 2.1 Skip Graph S

Publish/Subscribe KiZUNA P2P 2 Publish/Subscribe KiZUNA 2. KiZUNA 1 Skip Graph BF Skip Graph BF Skip Graph Skip Graph Skip Graph DDLL 2.1 Skip Graph S KiZUNA: P2P 1,a) 1 1 1 P2P KiZUNA KiZUNA Pure P2P P2P 1 Skip Graph ALM(Application Level Multicast) Pub/Sub, P2P Skip Graph, Bloom Filter KiZUNA: An Implementation of Distributed Microblogging Service

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

2. Twitter Twitter 2.1 Twitter Twitter( ) Twitter Twitter ( 1 ) RT ReTweet RT ReTweet RT ( 2 ) URL Twitter Twitter 140 URL URL URL 140 URL URL

2. Twitter Twitter 2.1 Twitter Twitter( ) Twitter Twitter ( 1 ) RT ReTweet RT ReTweet RT ( 2 ) URL Twitter Twitter 140 URL URL URL 140 URL URL 1. Twitter 1 2 3 3 3 Twitter Twitter ( ) Twitter (trendspotter) Twitter 5277 24 trendspotter TRENDSPOTTER DETECTION SYSTEM FOR TWITTER Wataru Shirakihara, 1 Tetsuya Oishi, 2 Ryuzo Hasegawa, 3 Hiroshi Hujita

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

ID 3) 9 4) 5) ID 2 ID 2 ID 2 Bluetooth ID 2 SRCid1 DSTid2 2 id1 id2 ID SRC DST SRC 2 2 ID 2 2 QR 6) 8) 6) QR QR QR QR

ID 3) 9 4) 5) ID 2 ID 2 ID 2 Bluetooth ID 2 SRCid1 DSTid2 2 id1 id2 ID SRC DST SRC 2 2 ID 2 2 QR 6) 8) 6) QR QR QR QR Vol. 51 No. 11 2081 2088 (Nov. 2010) 2 1 1 1 which appended specific characters to the information such as identification to avoid parity check errors, before QR Code encoding with the structured append

More information

Vol. 42 No. SIG 8(TOD 10) July HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Spe

Vol. 42 No. SIG 8(TOD 10) July HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Spe Vol. 42 No. SIG 8(TOD 10) July 2001 1 2 3 4 HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Speed Networks Yutaka Kidawara, 1 Tomoaki Kawaguchi, 2

More information

TF-IDF TDF-IDF TDF-IDF Extracting Impression of Sightseeing Spots from Blogs for Supporting Selection of Spots to Visit in Travel Sat

TF-IDF TDF-IDF TDF-IDF Extracting Impression of Sightseeing Spots from Blogs for Supporting Selection of Spots to Visit in Travel Sat 1 1 2 1. TF-IDF TDF-IDF TDF-IDF. 3 18 6 Extracting Impression of Sightseeing Spots from Blogs for Supporting Selection of Spots to Visit in Travel Satoshi Date, 1 Teruaki Kitasuka, 1 Tsuyoshi Itokawa 2

More information

IPSJ SIG Technical Report Vol.2014-IOT-27 No.14 Vol.2014-SPT-11 No /10/10 1,a) 2 zabbix Consideration of a system to support understanding of f

IPSJ SIG Technical Report Vol.2014-IOT-27 No.14 Vol.2014-SPT-11 No /10/10 1,a) 2 zabbix Consideration of a system to support understanding of f 1,a) 2 zabbix Consideration of a system to support understanding of fault occurrences based on the similarity of the time series Miyaza Nao 1,a) Masuda Hideo 2 Abstract: With the development of network

More information

Vol. 23 No. 4 Oct. 2006 37 2 Kitchen of the Future 1 Kitchen of the Future 1 1 Kitchen of the Future LCD [7], [8] (Kitchen of the Future ) WWW [7], [3

Vol. 23 No. 4 Oct. 2006 37 2 Kitchen of the Future 1 Kitchen of the Future 1 1 Kitchen of the Future LCD [7], [8] (Kitchen of the Future ) WWW [7], [3 36 Kitchen of the Future: Kitchen of the Future Kitchen of the Future A kitchen is a place of food production, education, and communication. As it is more active place than other parts of a house, there

More information

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi ODA Department of Human and Mechanical Systems Engineering,

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information