2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

Size: px
Start display at page:

Download "2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca"

Transcription

1 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical proximity correction (Immersion 45nm RET (NA) 65nm OPC 32nm EUV extreme ultraviolet lithography EPL electron projection lithography (Imprint Lithography) NGL (Next Generation Lithography) (NGL)

2 2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm CaF2 LER( line edge roughness )

3 nm nm EUV EPL ( ) (ROI: Return on Investment) RET EUV 1.8 nm (3 ) < 19 nm OPC (Optical Pattern Correction) ArF F2 (CaF 2 ) LER SEM 30 nm. 010 <45 nm 5 NGL ( ) 7nm 7.2nm <30nm ROI ROI LER <1 nm (3 sigma) <7.2 nm SEM scanning electron microscope

4 4 77a, 77b 78a, 78b, 78c 79a, 79b, 79c MPU MEF CD MPU ( ) LER NGL EUV EPL 79a b c NGL 45nm EUV EPL NGL NGL NGL

5 5 77a Lithography Technology Requirements Near-term Year of Production Technology Node hp90 hp65 DRAM DRAM ½ Pitch (nm) Contact in resist (nm) Contact after etch (nm) Overlay CD control (3 sigma) (nm) MPU MPU/ASCI Metal 1 (M1) ½ pitch (nm) MPU ½ Pitch (nm) (uncontacted gate) MPU gate in resist (nm) MPU gate length after etch (nm) Contact in resist (nm) Contact after etch (nm) Gate CD control (3 sigma) (nm) ASIC/LP ASIC ½ Pitch (nm) (uncontacted gate) ASIC/LP gate in resist (nm) ASIC/LP gate length after etch (nm) Contact in resist (nm) Contact after etch (nm) CD control (3 sigma) (nm) Chip size (mm 2 ) DRAM, introduction DRAM, production MPU, high volume at introduction MPU, high volume at production MPU, high performance ASIC Minimum field area Wafer size (diameter, mm) Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

6 6 77b Lithography Technology Requirements Long-term Year of Production Technology Node hp45 hp32 hp22 DRAM DRAM ½ Pitch (nm) Contact in resist (nm) Contact after etch (m) Overlay CD control (3 sigma) (nm) MPU MPU/ASCI Metal 1 (M1) ½ pitch (nm) MPU ½ Pitch (nm) (uncontacted gate) MPU gate in resist (nm) MPU gate length after etch (nm) Contact in resist (nm) Contact after etch (nm) CD control (3 sigma) (nm) ASIC/LP ASIC ½ Pitch (nm) (uncontacted gate) ASIC/LP gate in resist (nm) ASIC/LP gate length after etch (nm) Contact in resist (nm) Contact after etch (nm) CD control (3 sigma) (nm) Chip size (mm 2 ) DRAM, introduction DRAM, production MPU, high volume at introduction MPU, high volume at production MPU, high performance ASIC Minimum field area Wafer size (diameter, mm) Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known 77a 77b : [1] ( ) [2] 2/3 1/3 MPU 80% 20% DRAM ASIC ±15% MPU ±10%

7 7 78a Resist Requirements Near-term Year of Production Technology Node hp90 hp65 DRAM ½ Pitch (nm) MPU/ASIC Metal 1 (M1) ½ Pitch (nm) MPU/ASIC ½ Pitch (nm) (un-contacted gate) MPU Gate in resist Length (nm) MPU Gate Length after etch (nm) Resist Characteristics * Resist meets requirements for gate resolution and gate CD control (nm, 3 sigma) ** Resist thickness (nm, imaging layer) *** Ultra thin resist thickness (nm)**** PEB temperature sensitivity (nm/c) Backside particles (particles/m2 at critical size, nm) Defects in spin-coated resist films #/cm (size in nm) Defects in patterned resist films, gates, contacts, etc. #/cm (size in nm) Line Width Roughness (nm, 3 sigma) <8% of CD ****** Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

8 8 78b Resist Requirements Long-term Year of Production Technology Node hp45 hp32 hp22 DRAM ½ Pitch (nm) MPU/ASIC Metal 1 (M1) ½ Pitch (nm) MPU/ASIC ½ Pitch (nm) MPU Printed Gate Length (nm) MPU Physical Gate Length (nm) Resist Characteristics * Resist meets requirements for resolution and gate CD Control (nm, 3 sigma) ** Resist thickness (nm, imaging layer) *** Ultra thin resist thickness (nm)*** PEB temperature sensitivity (nm/c) Backside particles (particles/m 2 at critical size, nm) Defects in spin-coated resist films #/cm (size in nm) Defects in patterned resist films for gates, contacts, etc. #/cm (size in nm) Line Width Roughness (nm, 3 sigma) <8% of CD ****** Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

9 9 78c Resist Sensitivities Exposure Technology Sensitivity 78a 78b : 248 nm mj/ cm nm mj/ cm nm 5 15 mj/ cm 2 Extreme Ultraviolet at 13.5 nm 2 15 mj/ cm 2 Electron Beam Projection at 100 kv ***** 2 10 uc/ cm 2 E-beam Direct Write at 50 kv ***** 5 10 uc/ cm 2 ***** * ( ) ** *** 2.5:1 4:1 **** ***** 1 ****** LWR (Line Width Roughness) spatial frequences 3σ P 0. 5X j P X LWR=SQRT(2)*LER : [A] [B] 90±2 [C] 130 [D] (PHOST: poly hydroxystyrene) [E] [F] <1000pptM [G] Metal < 5ppb [H] 2 (molecules/cm 2 sec) 157nm <1e12 EUV <5e13 EPL [I] 2 Si (molecules/cm 2 sec) 157nm <1e8 EUV <5e13 EPL 1

10 10 79a Optical Mask Requirements Year of Production Technology Node hp90 hp65 DRAM ½ Pitch (nm) MPU/ASIC ½ Pitch (nm) MPU Printed Gate Length (nm) MPU Physical Gate Length (nm) Wafer minimum half pitch (nm) Wafer minimum line (nm, in resist) [A] Wafer minimum line (nm, post etch) Overlay Wafer minimum contact hole (nm, post etch) Magnification [B] Mask nominal image size (nm) [C] Mask minimum primary feature size [D] Mask OPC feature size (nm) clear Mask sub-resolution feature size (nm) opaque [E] Image placement (nm, multi-point) [F] CD uniformity allocation to mask (assumption) MEF isolated lines, binary [G] CD uniformity (nm, 3 sigma) isolated lines (MPU gates), binary mask [H] MEF isolated lines, alternating phase shift [G] CD uniformity (nm, 3 sigma) isolated lines (MPU gates), alternating phase shift mask [I] MEF dense lines [G] CD uniformity (nm, 3 sigma) dense lines (DRAM half pitch), binary or attenuated phase shift mask [J] MEF contacts [G] CD uniformity (nm, 3 sigma), contact/vias [K] Linearity (nm) [L] CD mean to target (nm) [M] Defect size (nm) [N] * Substrate form factor Blank flatness (nm, peak-valley) [O] Transmission uniformity to mask (pellicle and clear feature) (±% 3 sigma) Data volume (GB) [P] Mask design grid (nm) [Q] % Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

11 11 79a Optical Mask Requirements (continued) Year of Production Technology Node hp90 hp65 DRAM ½ Pitch (nm) MPU/ASIC ½ Pitch (nm) MPU Printed Gate Length (nm) MPU Physical Gate Length (nm) Attenuated PSM transmission mean deviation from target (± % of target) [R] Attenuated PSM transmission uniformity (±% of target) [R] Attenuated PSM phase mean deviation from 180º (± degree) [S] Alternating PSM phase mean deviation from nominal phase angle target 180º degrees (± degree) [S] Alternating PSM phase uniformity (± degree) [T] Nominal reflectivity (%) [U] 20% 20% 15% 15% 15% 10% 10% Mask materials and substrates Absorber on fused silica, except for 157 nm optical that will be absorber on fluorine doped, low OH fused silica substrate. Strategy for protecting mask from defects (Exposure tool dependent) Pellicle for optical masks down to 193 nm. Modified fused silica pellicles have demonstrated feasibility for 157- nm scanners, and removable pellicles might be useful for small lot production. Research continues on organic membrane pellicles materials in a search for viable solutions. Primary PSM choices are attenuated shifter and alternating aperture 70% Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known 79a Optical Mask requirements : [A] Wafer Minimum Line Size: ( ) [B] Magnification: N: [C] Mask Nominal Image Size: 4X [D] Mask Minimum Primary Feature Size: OPC [E] Mask Sub-Resolution Feature Size: [F] Image Placement: (X Y) [G] MEF(Mask Error Factor) MEF 1 [H] CD Uniformity: 3σ X Y

12 12 [I] CD Uniformity: 3σ X Y [J] CD Uniformiyt: 3σ X Y [K] CD Uniformity: 3σ [L] Linearity: 3/2 [M] CD Mean to Target: ( - )/ [N] Defect Size: 10% [O] Blank Flatness: 6 110mmx110mm nm [P] Data Volume: [Q] Mask Design Grid: [R] Transmission: % [S] Phase: 2 [T] Alt PSM [U] ( )

13 13 79b EUVL Mask Requirements Year of Production Technology Node hp45 hp32 hp22 DRAM ½ Pitch (nm) Wafer minimum half pitch (nm) Wafer minimum line (nm, in resist) [A] Wafer minimum line (nm, post etch) Overlay Wafer minimum contact hole (nm, after etch) Generic Mask Requirements Magnification [B] Mask nominal image size (nm) [C] Mask minimum primary feature size [D] Image placement (nm, multi-point) [E] CD Uniformity (nm, 3 sigma) [F] Isolated lines (MPU gates) Dense lines DRAM (half pitch) Contact/vias Linearity (nm) [G] CD mean to target (nm) [H] Defect size (nm) [I] Data volume (GB) [J] Mask design grid (nm) [K] Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

14 14 79b EUVL Mask Requirements (continued) Year of Production Technology Node hp45 hp32 hp22 DRAM ½ Pitch (nm) EUVL-specific Mask Requirements Substrate defect size (nm) [L] Mean peak reflectivity 65% 66% 66% 67% 67% 67% 67% 67% Peak reflectivity uniformity (% 3 sigma absolute) 0.58% 0.56% 0.54% 0.48% 0.42% 0.36% 0.30% 0.24% Reflected centroid wavelength uniformity (nm 3 sigma) [M] Minimum absorber sidewall angle (degrees) Absorber sidewall angle tolerance (± degrees) Absorber LER (3 sigma nm) [N] Mask substrate flatness (nm peak-to-valley) [O] Maximum aspect ratio of absorber stack Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known 79b EUV Mask requirements : EUVL [A] Wafer Minimum Line Size: ( ) [B] Magnification: N: [C] Mask Nominal Image Size: N [D] Mask Minimum Primary Feature Size: OPC [E] Image Placement: (X Y) [F] CD Uniformity: 3σ X Y : ( ) ( ) [G] Linearity: 3/2

15 15 [H] CD Mean to Target: Σ( - )/ [I] Defect Size: 10% [J] Data Volume: [K] Mask Design Grid: [L] Substrate Defect Size: [M] [N] Line edge roughness (LER): σ [O] Mask Substrate Flatness: 5mm nm peak-to-valley

16 16 79c EPL Mask Requirements Year of Production Technology Node hp45 hp32 hp22 DRAM ½ Pitch (nm) Wafer minimum half pitch (nm) [A] Wafer minimum line (nm, in resist) Wafer minimum line (nm, post etch) Overlay Wafer minimum contact hole (nm, post etch) Generic mask requirements Magnification [B] Mask minimum image size (nm) [C] Image placement error in sub-field (nm, multi-point) [D] Sub-field placement error on mask (nm, 3 sigma, non-linear term) [E] CD Uniformity (nm, 3 sigma) [F] Isolated lines (MPU gates) Dense lines (DRAM half pitch) Contact/vias Linearity (nm) [G] CD mean to target (nm) [H] Pattern corner rounding (nm) Defect size (nm) [I] Data volume (GB) [J] Mask design grid (nm) [K] EPL-specific Mask Requirements Mask type Mem-bra ne [T] Stencil [U] Mem-bra ne [T] Stencil [U] Mem-bra ne [T] Stencil [U] Clear area transmission factor [L] 50% 100% 50% 100% 50% 100% 70% 100% 70% 100% 70% 100% 70% 100% 70% 100% Membrane thickness uniformity (3 sigma %) [M] Membrane thickness uniformity in sub-field (3 sigma %) [N] Mem-bra ne [T] Stencil [U] Mem-bra ne [T] Stencil [U] Mem-bra ne [T] Stencil [U] Mem-bra ne [T] Stencil [U] Mem-bra ne [T] 2% N/A 2% N/A 2% N/A 2% N/A 2% N/A 2% N/A 2% N/A 2% N/A 1% N/A 1% N/A 1% N/A 1% N/A 1% N/A 1% N/A 1% N/A 1% N/A Membrane mean thickness error (%) [O] 10% N/A 10% N/A 10% N/A 10% N/A 10% N/A 10% N/A 10% N/A 10% N/A Scatterer thickness uniformity in mask (3 sigma %) [P] 5% 5% 5% 5% 5% 5% 5% 5% 5% 5% 5% 5% 5% 5% 5% 5% Scatterer mean thickness error (%) [Q] 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% 10% Pattern sidewall angle (degrees) Stencil [U] Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

17 17 79c EPL Mask Requirements (continued) Year of Production Technology Node hp45 hp32 hp22 DRAM ½ Pitch (nm) Pattern sidewall angle tolerance (+ degrees) [R] Scatterer/stencil LER ( 3 sigma nm) [S] Mask substrate flatness (micron peak-to-valley) Mask flatness within a sub-field (micron peak-to-valley) Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known 79c EPL Mask requirements : EPL ( 1mm ) Si ( ) [A] Wafer Minimum Feature Size: ( ) [B] Magnification: N: [C] Mask Minimum Image Size: ( ) [D] Image Placement Error in Sub-field: 3σ 1mm [E] Sub-field Placement in Mask: (X,Y) 3σ EPL [F] CD Uniformity: 3σ X Y : [G] Linearity: 3/2 [H] CD Mean to Target: Σ( - )/ [I] Defect Size: 10% [J] Data Volume: [K] Mask Design Grid:

18 18 [L] Clear Area Transmission Factor: - ( 6 8mrad) [M] Membrane Thickness Uniformity in Mask: 3σ [N] Membrane Thickness Uniformity in Sub-field: 3σ 1mm [O] Membrane Mean Thickness Error: [P] Scatterer Thickness Uniformity in Mask: 3σ [Q] Scatterer Mean Thickness Error: [R] Pattern Sidewall Angle: [S] Scatterer/stencil LER: σ [T] [U]

19 nm NGL 45nm 248nm 193nm 193nm 193nm 157nm Immersion Lithography 193nm 45nm 157nm 157nm 32nm 157nm NGL (NGL) 45nm NGL ( ) EUV EPL (ML2) Imprint PEL(proximity electron lithography ) ( IPL( ion projection lithography ) PXL proximity x-ray lithography 18nm PXL IPL 32nm ML2 ML2

20 20 Technology Node hp90 hp65 hp45 hp32 hp22 hp nm + RET Technology Options at Technology Nodes (DRAM Half-Pitch, nm) nm + RET + litho-friendly designs 157 nm + RET + litho-friendly designs Narrow 193 nm immersion lithography options EPL, PEL 157 nm + RET + litho-friendly designs Immersion 193 nm lithography + RET + litho-friendly designs EPL, PEL ML2 EUV 157 nm immersion + RET + litho-friendly designs EPL, imprint lithography ML2 Narrow options Narrow options DRAM Half-pitch (dense lines) 22 EUV, EPL ML2, imprint lithography Innovative technology Narrow options 16 Innovative technology ML2, EUV + RET Narrow options Research Required Development Underway Qualification/Pre-Production Continuous Improvement This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Technologies shown in italics have only single region support. RET resolution enhancement technology EUV extreme ultraviolet EPL electron projection lithography ML2 maskless lithography PEL proximity electron lithography STRJ WG5 Lithography 45nm Potential Solution EUVL 53 Lithography Exposure Tool Potential Solutions ESH PFOS perfluorooctyl sulfonates

21 21 ES&H Metrology 20% CD 3D 65nm CD CD Scatterometry SPM (LER) / OPC NGL LER /

22 22 Modeling Simulation ITWG PIDS FEP 2 EPL CD

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130-

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130- L i t r o n T o t a l L a s e r C a p a b i l i t y Nano Series Ultra Compact Pulsed Nd:YAG Lasers Product Range Specification Nano Range Specification Stable & Stable Telescopic Resonators Model Nano

More information

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal Inrush Current of Induction Motor on Applying Electric Power by Takao Itoi Abstract The transient currents flow into the windings of the induction motors when electric sources are suddenly applied to the

More information

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth and Foot Breadth Akiko Yamamoto Fukuoka Women's University,

More information

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 42, No. 1, 2008 Li 2 B 4 O 7 (LBO) *, ** * ** ** Optical Scatterer and Crystal Growth Technology of LBO Single Crystal For Development with Optical Application

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 CD X Axis [mm] Y Axis [mm] - - Magnification: 150k (0, -30mm) 26 nm 24 nm 1 26 nm 26 nm (30mm, 0) Center (0, -30mm) 48 nm (0, 30mm) 48 nm 48 nm 48 nm 48 nm E-beam resist Resist image Silicon mold Dose

More information

第7章 WG5 リソグラフィ

第7章 WG5 リソグラフィ 第 6 章 WG5 リソグラフィ 6-1 はじめに 2008 年度 WG5( リソグラフィ WG) では ITRS2008update 版の作成に向けて 技術世代に対応した解決策候補 (Potential Solutions) の技術調査 各種テーブルの見直し クロスカット活動などを行うとともに 2009 版の作成指針を絞り込んだ Lithography itwg は SEMATECH から出ているリーダーの下

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

INTERNATIONAL

INTERNATIONAL INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 年版 メトロロジ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

高周波同軸コネクタ

高周波同軸コネクタ RF circuit ANT Probe Signal in Signal out Probe Signal out Signal in RF circuit ANT Probe Probe Signal in Signal out Signal out Signal in RF ANT. RF ANT. Probe Probe Signal out Signal out Signal in Signal

More information

スライド 1

スライド 1 LER/LWR WG4 /WG5 /WG6(PIDS)/WG11 65nm WG11 1 LER/LWR 2004 UPDATE LER/LWR 2 1. LER/LWR 2. * * 3. 4. * Lithography/PIDS/Interconnect 5. 3 1. LER/LWR Line-Edge Roughness (LER) L y Line-Width Roughness (LWR)

More information

Vol. A-TAP A-SFT A-POT Highly Efficient Multi-Purpose Tap Series Galling No Galling Galling No Galling Spiral Fluted Tap NEXT NEW SIZES FROM NEXT Spiral Fluted Tap FROM P.18 P.20 NEXT NEW SIZES FROM

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

メタルバンドソー

メタルバンドソー Metal Band Saw Blades Tornado Series selection TiCN HSS Co FAX FMX PM VL Selection Chart Selection Chart Solids Selection Teeth 3 note 1) Structurals, Tubing H section steels Light gauge steels Tube 4

More information

TEG 107 Yield Enhancement Difficult Challenges 45nm 2009 DFM, DFT SMLY IC 1/2 LER(Line Edge Roughness) ACLV(Across Chip Line width Variation)

TEG 107 Yield Enhancement Difficult Challenges 45nm 2009 DFM, DFT SMLY IC 1/2 LER(Line Edge Roughness) ACLV(Across Chip Line width Variation) 1 (YIELD ENHANCEMENT) YE R&D Yield Model and Defect Budget Defect Detection and Characterization Yield Learning Wafer Environmental Contamination Control 4 300mm 4 WIP TEG 90nm 107 Non-visual defects 2

More information

Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1" in UV 0.16" in Vis/NIR (Diffraction limit of 1.5mφ

Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1 in UV 0.16 in Vis/NIR (Diffraction limit of 1.5mφ , (NAOJ) (Kyoto U.) Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1" in UV 0.16" in Vis/NIR (Diffraction limit of 1.5mφ at 1µm ) FOV ~200" x 200" to cover

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

PowerPoint Presentation

PowerPoint Presentation 1 Litho 計測の現状と課題 ( 計測 WG) & 故障解析 SWG 活動状況報告 2 Litho 計測の現状と課題 ( 計測 WG) メンバー 河村栄一 ( 富士通マイクロエレクトロニクス ) 山崎裕一郎 ( 東芝 ) 上澤史且 (SONY) 清水澄人 ( パナソニック ) 横田和樹 (NECEL) 市川昌和 ( 東京大学 ) 水野文夫 ( 明星大学 ) 小島勇夫 ( 産総研 ) 池野昌彦 (

More information

a b Chroma Graphein Chromatography

a b Chroma Graphein Chromatography a b Chroma Graphein Chromatography (Stationary Phase) (Mobile Phase) CHROMATOGRAPHY GAS SFC LIQUID GSC GLC Column Planar NP RP IEC SEC TLC Paper Normal Phase Reverse Phase GPC GFC Thin Layer Chromato.

More information

*1 *2 *1 JIS A X TEM 950 TEM JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbe

*1 *2 *1 JIS A X TEM 950 TEM JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbe *1 *2 *1 JIS A 14812008X TEM 950 TEM 1 2 3 4 JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbestos with Superheated Steam Part 3 An evaluation with

More information

100 SDAM SDAM Windows2000/XP 4) SDAM TIN ESDA K G G GWR SDAM GUI

100 SDAM SDAM Windows2000/XP 4) SDAM TIN ESDA K G G GWR SDAM GUI 30 99 112 2006 SDAM SDAM SDAM SDAM 1950 1960 1970 SPSS SAS Microsoft Excel ArcView GIS 2002 ArcExplorer 1) MANDARA 2) GIS 2000 TNTLite 3) GIS 100 SDAM SDAM Windows2000/XP 4) SDAM TIN ESDA K G G GWR SDAM

More information

Tornado Series selection SW TiCN HSS Co FAX VL PM

Tornado Series selection SW TiCN HSS Co FAX VL PM Metal Band Saw Blades Tornado Series selection SW TiCN HSS Co FAX VL PM Selection Chart Selection Chart Solids 3 Selection Teeth 4 note 1) Structurals, Tubing H section steels Light gauge steels Tube Products

More information

1 2 3

1 2 3 INFORMATION FOR THE USER DRILL SELECTION CHART CARBIDE DRILLS NEXUS DRILLS DIAMOND DRILLS VP-GOLD DRILLS TDXL DRILLS EX-GOLD DRILLS V-GOLD DRILLS STEEL FRAME DRILLS HARD DRILLS V-SELECT DRILLS SPECIAL

More information

untitled

untitled SPring-8 RFgun JASRI/SPring-8 6..7 Contents.. 3.. 5. 6. 7. 8. . 3 cavity γ E A = er 3 πε γ vb r B = v E c r c A B A ( ) F = e E + v B A A A A B dp e( v B+ E) = = m d dt dt ( γ v) dv e ( ) dt v B E v E

More information

Influence of Material and Thickness of the Specimen to Stress Separation of an Infrared Stress Image Kenji MACHIDA The thickness dependency of the temperature image obtained by an infrared thermography

More information

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, biological imaging, and other applications because of

More information

Modeling and Simulation

Modeling and Simulation 1 TCAD TCAD (1) (2) (3) (4) (5) (6) (7) (8) / ( ) (9)DFM(Design for Manufacturing: )DFY(Design for Yield: ) TCAD IC TCAD IC (10) (7) (10) TCAD IC Table 122 2 ITWG Table 122 Modeling and Simulation Difficult

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alterna

JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alterna JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alternative approach using the Monte Carlo simulation to evaluate

More information

cms.pdf

cms.pdf RoHS compliant INTERNAL STRUTURE FEATURES Part name over Slider Housing Slider contact Fixed contact Terminal pin lick spring Ground terminal Material Steel (SP), Tin-plated Polyamide opper alloy, Gold-plated

More information

untitled

untitled 98 17 (2005) 81 () () E-mail : uesugi@mx4.ttcn.ne.jp 1) 1 2 3 QE 4 LSI 5 6L 18 7 8 9 10 11 12 2) 13 14() 15 1617 18 AN SN 19. 2 20 21 22 () 3) 23 SN 24() - 2 25 26 27(1) 28 (2) 4) 29 30QE 31() 32 () 33

More information

Linda

Linda 1 YIELD ENHANCEMENT YE R&D / Yield Model and Defect Budget Defect Detection and Characterization Yield Learning Wafer Environment(s) Contamination Control 300mm WIP TEG POS POP Table115 Table108 / Table

More information

橡自動車~1.PDF

橡自動車~1.PDF CIRJE-J-34 2000 10 Abstract In this paper, we examine the diversity of transaction patterns observed between a single pair of one automaker and one auto parts supplier in Japan. Assumed reasonably that

More information

Huawei G6-L22 QSG-V100R001_02

Huawei  G6-L22 QSG-V100R001_02 G6 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 1 2 3 17 4 5 18 UI 100% 8:08 19 100% 8:08 20 100% 8:08 21 100% 8:08 22 100% 8:08 ********** 23 100% 8:08 Happy birthday! 24 S S 25 100% 8:08 26 http://consumer.huawei.com/jp/

More information

LC304_manual.ai

LC304_manual.ai Stick Type Electronic Calculator English INDEX Stick Type Electronic Calculator Instruction manual INDEX Disposal of Old Electrical & Electronic Equipment (Applicable in the European Union

More information

06_学術_関節単純X線画像における_1c_梅木様.indd

06_学術_関節単純X線画像における_1c_梅木様.indd Arts and Sciences X The formulation of femoral heard measurement corrected enlargement ratio using hip joints X-ray Imaging 1 2 1 1 1 2 Key words: Bipolar Hip Arthroplasty (BHA) Preoperative planning Enlargement

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

2. TMT TMT TMT 1 TMT 3 1 TMT TMT PI PI PI SA PI SA SA PI SA PI SA

2. TMT TMT TMT 1 TMT 3 1 TMT TMT PI PI PI SA PI SA SA PI SA PI SA TMT TMT 181 8588 2 21 1 e-mail: n.kashikawa@nao.ac.jp TMT TMT TMT * 1 TMT TMT TMT SAC Science Advisory Committee 2012 8 13 1 1. 1 2 3 20 * 1 609 2. TMT TMT TMT 1 TMT 3 1 TMT 8 3 4 TMT PI 1 10 50 2.1 PI

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

Thread Mill Series

Thread Mill Series Thread Mill Series Index Go to the following for the quick tool search. Guide to Icons Tool Materials Helix Angle Shank Tungsten Carbide Cobalt HSS Helix angle of flute for cutters Tolerance for shank

More information

Company_2801.ai

Company_2801.ai Park Systems www.parkafm.co.jp Park Systems Enabling Nanoscale Advances ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ Courtesy NASA/JPL-Caltech Park Systems Enabling Nanoscale Advances 5 98 988 997 Prof. C.F. Quate

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

T05_Nd-Fe-B磁石.indd

T05_Nd-Fe-B磁石.indd Influence of Intergranular Grain Boundary Phases on Coercivity in Nd-Fe-B-based Magnets Takeshi Nishiuchi Teruo Kohashi Isao Kitagawa Akira Sugawara Hiroyuki Yamamoto To determine how to increase the coercivity

More information

走査型プローブ顕微鏡によるラテックス/デンプンブレンドフィルムの相分離状態の観察

走査型プローブ顕微鏡によるラテックス/デンプンブレンドフィルムの相分離状態の観察 紙パ技協誌, 53(3): 107-113(1999) * 1 Observation of Separated Domains in SB-latex/Starch blend film by Scanning Probe Microscope Toshiharu Enomae and Fumihiko Onabe Graduate School of Agricultural and Life

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

日立金属技報 Vol.34

日立金属技報 Vol.34 Influence of Misorientation Angle between Adjacent Grains on Magnetization Reversal in Nd-Fe-B Sintered Magnet Tomohito Maki Rintaro Ishii Mitsutoshi Natsumeda Takeshi Nishiuchi Ryo Uchikoshi Masaaki Takezawa

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

無電解めっきとレーザー照射による有機樹脂板上へのCuマイクロパターン形成

無電解めっきとレーザー照射による有機樹脂板上へのCuマイクロパターン形成 Title 無電解めっきとレーザー照射による有機樹脂板上への Cu マイクロパターン形成 Author(s) 菊地, 竜也 ; 和智, 悠太 ; 坂入, 正敏 ; 高橋, 英明 ; 飯野, 潔 ; 片山, 直樹 Citation 表面技術, 59(8): 555-561 Issue Date 2008-08 Doc URL http://hdl.handle.net/2115/36647 Type

More information

PALL NEWS vol.126 November 2017

PALL NEWS vol.126 November 2017 PALL NEWS November 2017 Vol.126 PALL NEWS vol.126 November 2017 NEW =2000 9660 41.4 MPa 24 MPa NFPA T2.06.01 R2-2001 CAT C/90/* (1x10 6 0-28 MPa 1x10 6 29 120 C 60 C 450 Pa 340 Pa 1 MPa JIS B 8356-3/ISO

More information

J. Jpn. Inst. Light Met. 65(6): 224-228 (2015)

J. Jpn. Inst. Light Met. 65(6): 224-228 (2015) 65 62015 224 228 ** Journal of The Japan Institute of Light Metals, Vol. 65, No. 6 (2015), 224 228 2015 The Japan Institute of Light Metals Investigation of heat flow behavior on die-casting core pin with

More information

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd.

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd. LSM5Pascal Ver 3.2 GFP 4D Image VisArt 2004.03 LSM5PASCAL V3.2 LSM5PASCAL SW3.2Axiovert200M 1 1 2 3 3 4 4 5 SingleTrack 9 Multi Track 10,18 5 / 21 6 3 27 7 35 8 ( OFF) 40 LSM5PASCAL V3.2 LSM5PASCAL 65

More information

Safety Performance of Steel Deck Plate (Flat Decks) Used for Concrete Slab Moulding CONTENTS 1. Introduction ---------------------------------------------------------------- (2) 2. Flat Decks ------------------------------------------------------------------

More information

幅広い業種や業務に適応可能なRFIDソリューション

幅広い業種や業務に適応可能なRFIDソリューション RFID RFID Solution Applicable to Various Types of Business 吉 田 正 橋 本 繁 落 合 孝 直 あらまし UHF RFID Radio Frequency IDentification UHF RFID RFID UHF RFID Abstract UHF-band Radio Frequency IDentification (RFID)

More information

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new electric wave projector is proposed in this paper. The

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

1 158 14 2 8 00225 2 1.... 3 1.1... 4 1.2... 5 2.... 6 2.1...7 2.2... 8 3.... 9 3.1... 10 3.2... 16 4.... 17 4.1... 18 4.2... 20 4.3... 22 5.... 23 5.1... 24 5.2... 28 5.3... 34 5.4... 37 5.5... 39 6....

More information

橡

橡 CO2 Laser Treatment of Tinea Pedis Masahiro UEDA:,' Kiyotaka KITAMURA** and Yukihiro GOKOH*** Table I Specifications 1. Kind of Laser 2. Wavelength of Lasers. Power of Laser. Radiation Mode. Pulse Duration.

More information

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch 110 : 565-0871 2-1 567-0871 11-1 660-0811 1-9 - 1 tanigawa@jwri.osaka - u.ac.jp Influence of Laser Beam Profile on Cladding Layer TANIGAWA Daichi, ABE Nobuyuki, TSUKAMOTO Masahiro, HAYASHI Yoshihiko, YAMAZAKI

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

COE

COE COE COOL05 MD @ @ @ @ n ν x, y 2 2 International Workshop on Beam Cooling and Related Topics ( COOL05) General Topics Overview. S-LSR Report from Lab Report from Lab Electron Cooling Muon Cooling

More information

untitled

untitled TEM with CCD Ver. 5.1 18 10 25 6 50 FILAMENT OFF 80 V () FILAMENT 2 25 FILAMENT OFF FILAMENT 1 min 2-5 L TEM(&CCD) FILAMENT ON CCD( ) - 1 - 3 TEM 3 CCD 3 4 5 6 7 (CCD ) 7 CCD 7 10 10 11 CCD &TEM 11-2 -

More information

Linda

Linda 1 (Moore s Law)100% 30% () (high-k /low-k ) 30 SoC. 2. 157nm Cu High Low SOI 3. 1) 200mm 2.25 2) 30% 3) ( )100% AMHS Automatic Material Handling System;4) 5) 2 4. Bulk CMOS ( ) Bulk CMOS 1980 $50M 2005

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

橡ボーダーライン.PDF

橡ボーダーライン.PDF 1 ( ) ( ) 2 3 4 ( ) 5 6 7 8 9 10 11 12 13 14 ( ) 15 16 17 18 19 20 ( ) 21 22 23 24 ( ) 25 26 27 28 29 30 ( ) 31 To be or not to be 32 33 34 35 36 37 38 ( ) 39 40 41 42 43 44 45 46 47 48 ( ) 49 50 51 52

More information

xEffect_SG_MV_Part2_E_xEffect_SG_MV_E

xEffect_SG_MV_Part2_E_xEffect_SG_MV_E Miniature Circuit Breakers FAZ-NA, FAZ-RT, FAZ-DU SG56912 FAZ-NA/-RT/-DU According to UL 489, CSA C22.2 No. 5 and also IEC 60947-2 standard For Applications, wich are permitted for UL 1077 or CSA C22.2

More information

特-4.indd

特-4.indd 1 000 Ni-Cr Tribological Characteristics of Ni-Cr Alloy at 1 000 C in Air R&D 1 000 Ni-Cr 1 000 Ni-Cr alloy sliding tests in atmosphere at 1 000 C were carried out and the process in which a glazed oxide

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

06_学術.indd

06_学術.indd Arts and Sciences Development and usefulness evaluation of a remote control pressured pillow for prone position 1 36057 2 45258 2 29275 3 3 4 1 2 3 4 Key words: pressured pillow prone position, stomach

More information

LAGUNA LAGUNA 10 p Water quality of Lake Kamo, Sado Island, northeast Japan, Katsuaki Kanzo 1, Ni

LAGUNA LAGUNA 10 p Water quality of Lake Kamo, Sado Island, northeast Japan, Katsuaki Kanzo 1, Ni LAGUNA10 47 56 2003 3 LAGUNA 10 p.47 56 2003 1997 2001 1 2 2 Water quality of Lake Kamo, Sado Island, northeast Japan, 1997 2001 Katsuaki Kanzo 1, Niigata Prefectural Ryotsu High School Science Club, Iwao

More information

380-厚板06

380-厚板06 Development of Ironmaking Technology Abstract The Japanese steel industry has a long history of introducing new and innovative technologies in the field of ironmaking. The new technologies introduced during

More information

16 (16) poly-si mJ/cm 2 ELA poly-si super cooled liquid, SCL [3] a-si poly-si [4] solid phase crystalization, SPC [5] mJ/cm 2 SPC SCL (di

16 (16) poly-si mJ/cm 2 ELA poly-si super cooled liquid, SCL [3] a-si poly-si [4] solid phase crystalization, SPC [5] mJ/cm 2 SPC SCL (di (15) 15 ELA により形成された poly-si 結晶成長様式 - グレイン形状と水素の関係 - Crystal Growth Mode of Poly-Si Prepared by ELA -Relationship between the Grain Morphology and ydrogens- Naoya KAWAMOTO (Dept. of Electrical and Electronic

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information