45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

Size: px
Start display at page:

Download "45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-"

Transcription

1 1 45nm ArF WG5

2 WG5 2

3 Update Potential Solutions ArF EUV (ML2)

4 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes, etc. (2005 updates probable) LWR/LER APC

5 5 Potential Solutions (,, ) IC N+3 WG Potential Solutions

6 Lithography Potential Solutions in 2004 Update Technology Options at Technology Nodes (DRAM Half-Pitch, nm) 2004 Lithography 2004 exposure tool Technology Node nm nm + LFD 193nm immersion PEL 193nm immersion + LFD EUV ML2, 157nm immersion, PEL hp90 hp65 hp45 hp32 hp22 hp16 potential solutions EUV 193nm immersion + LFD 157nm immersion + LFD, ML2 Imprint EUV Innovative 157nm or 193 nm immersion ML2 Imprint, innovative technology Innovative technology ML2, EUV + RET, imprint DRAM Half-pitch (dense lines) RET = Resolution enhancement technology LFD = Lithography friendly design rules ML2 = Maskless lithography Research Required Development Underway Qualification/ Pre-Production Continuous Improvement Notes: EPL is a potential solution at the 65, 45 and 32-nm nodes for one geographical region, and PEL is a potential solution at the 32-nm node for one geographical region. RET will be used with all optical lithography solutions, including with immersion; therefore, it is not explicitly noted.

7 Transition of ITRS Litho Potential Solutions 2004 Update 2003 Edition 2001 Edition ArF+PSM KrF+PSM ML2 EUV F 2 + LFD+Immersion ArF +LFD+Immersion PEL PEL EPL PEL PXL IPL F 2 +PSM EPL ML2 PEL F 2 +RET+LFD+Immersion ArF+RET+LFD+Immersion 65@ EUV EPL ML2 45@ EUV 32@ RET 20 7 Innovation Imprint +RET Innovative Immersion Innovation Imprint Innovation 22@2016

8 8 Potential Solutions ArF NA 1.3 hp45-65nm NA:>1.5 hp32nm F2 ArF Backup EUV hp32nm ML2 Maskless Lithography) hp32nm hp16nm Innovative technology

9 - 9

10 10 - σ

11 11 Potential Solutions ArF NA EUV (ML2)

12 12 ( ) (index = n) Projection optics Wafer stage ( ) Wafer NA = n sin θ = k1 λ / NA = k1 λ / (n sin θ) = k1 (λ/n) / sin θ DOF = k2 (λ/n) / 2 (1-cos θ ) ~ k2 (λ/n) / sin 2 θ = k2 n λ / NA 2 NA

13 ArF 90nm Iso Line, with Topcoat Dry (Dose: 170 J/m2) DOF=0.25um B.F Immersion (Dose: 140 J/m2) DOF=0.40um Condition Illumi: NA0.75 2/3Annular Mask: Att.PSM CANON Substrate: Bare-Si BARC: AR46(30nm) Courtesy of Canon Resist:ARX-2014 (130nm) / JSR TARC:TCX001(29nm) / JSR Development: 60sec 13

14 ArF - 65nm L&S - Focus Dynamic exposure Mask:6% Attn-PSM, Dipole illumination (NA=0.85, σ =0.93) Resist TArF-P6111 (t =170nm), Top coat TSP-3A (t = 34nm) 65nm L/S, DOF=750nm with Dipole illumination Courtesy of Nikon 14

15 15 K1

16 (λ/n) NA = n sin θ Resolution = k 1 λ / NA = k 1 (λ/n) / sin θ * * JSR ArF F2 16

17 n Glass = n Air = 1.00 n H2O = 1.44 n fluid = 1.64 n H2O = 1.44 n Resist =

18 18 NA>1.5 θ flude n Glass θ grass n fluid θ Resist n Resist NA = n grass sinθ grass = n fluid sinθ fluid = n Resist sinθ Resist NA (

19 32nm L/S ArF Immersion Lithography 32nm NA:1.5 by Canon interferometric exposure in JSR s High Refractive Index Fluid Courtesy of JSR and Canon 19

20 NA NA=1.50, n_fluid=1.65 Dipole (4/5 Annular 30 ), Att. PSM CD_DOF(µm) S-Polarized 0.8 σ= σ= σ= σ= L/S(nm) CD_DOF(µm) Unpolarized 0.8 σ= σ= σ= σ= L/S(nm) Courtesy of Canon 20

21 21

22 22 ArF ArF '04 NA 0.75~0.85 '05M~E NA: 0.93~ ~ 65nm Memory Logic '06M~'07B NA: 1.2~ ~ 55nm ('09 NA: ~ 45nm ) ArF ArF

23 23 EUV (1) ITRS 32nm/22nm 45nm nd EUV (13.4nm) OPC RET ISMT( ) VNL(Virtual National Laboratories), Intel( MET) MEDIA+, IMEC ASML EUVA ASET

24 EUV (2) EUV 10-20W 40-70W 115W MET Micro Exposure Tool) / Intel ( ) '05 ASML. Nikon Canon '06-'07 EUV 50 24

25 ML2(Maskless Lithography) (SoC) Time-to-Market (ES ) EB EB 2 (2-4 ) '07~'08 β 25

26 26 ML2 Multi e-beam System NEDO 50kV 32x32 ~2 wph MCC / 50kV 16 ~10 wph PML2 LEICA/IMS 100kV ~29000 ~5 wph MAPPER MAPPER Lithography 5kV ~10 wph

27 Multi e-beam system NEDO Project) Electron High speed blanking Multi beam deflection Reduction optics 32x32=1024beams Beam pitch : 2µm on wafer Source: NEDO HP Report No

28 CPU 1 st Generation MCC System with 16CCs GUN HV Controller (4x4) CC Lens Controller Digital Control Circuits Electron Gun (4x4) Blanking Deflectors CC-2 Rack CC-1 Rack High speed digital circuits for each CC ( DATA memory, PG, PC, CLK etc.) are in a rack of size about 400x400x400 mm 3. * Identical 16 racks for 16CC system. * Digital circuits ; 3000x1000x2000mm 3. Stage Controller CC-16 Rack 300mm Wafer Rectangular Apertures (4x4) Pre. Mask Deflector CP Masks (mounted on separated stage) Post Mask Deflectors Round Apertures Major Deflector (200x200 um 2 ) Minor Deflector (10x10 um 2 ) Position Sensor Wafer Stage 28

29 Throughput Estimation for 1 st MCC System Estimation of Throughput for 65nm Device VSB Flashes No. on 300mm Wafer(Gshots) 16CCs-VSB (WPH) 16CCs-VSB (WPH) Contact Via (Ave.) Wiring (Ave.) CCs 300 mm interval 75mm Isolation Gate Throughput (WPH) Dependent on CP patterns prepared on CP mask. Total VSB Flashes (G shots) 29

30 30 ArF ArF EUV ArF hp65nm hp45nm hp32nm EUV 32nm ML2

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

03_委託テーマ発表資料(その2)(p.89-p.134).pdf

03_委託テーマ発表資料(その2)(p.89-p.134).pdf 89 MEMS 2 / 5-0 0-20 90 3 Beyond-CMOS CNT CNT CNT NEC 4 NEDO (80 NEDO 2008.05 Nature Nanotechnology NEDO (8 22 CNT CNT NEDOPJ CNT NEDO M 3 5 Nature Nanotechnology 3, 289-294 (2008) 6 9 7 8 92 9 (!!! '!!!

More information

Microsoft Word - 01マニュアル・入稿原稿p1-112.doc

Microsoft Word - 01マニュアル・入稿原稿p1-112.doc 4 54 55 56 ( ( 1994 1st stage 2nd stage 2012 57 / 58 365 46.6 120 365 40.4 120 13.0 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 4 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

Microsoft Word - .....J.^...O.|Word.i10...j.doc

Microsoft Word - .....J.^...O.|Word.i10...j.doc P 1. 2. R H C H, etc. R' n R' R C R'' R R H R R' R C C R R C R' R C R' R C C R 1-1 1-2 3. 1-3 1-4 4. 5. 1-5 5. 1-6 6. 10 1-7 7. 1-8 8. 2-1 2-2 2-3 9. 2-4 2-5 2-6 2-7 10. 2-8 10. 2-9 10. 2-10 10. 11. C

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

P01_表紙

P01_表紙 INDEX MEDIA DATA 2017 2 MEDIA DATA 2017 3 4.9 6.1 3.4 3.9 11.7 11.4 11.5 10.9 7.7 4.9 5.7 6.5 4.4 3.9 2.4 3.3 MEDIA DATA 2017 4 70.6 69.2 67.3 63.7 11.6 8.9 10.4 6.9 16.6 15.6 15.1 12.7 9.7 8.6 9.0 14.2

More information

Main-Title

Main-Title 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

28 Horizontal angle correction using straight line detection in an equirectangular image

28 Horizontal angle correction using straight line detection in an equirectangular image 28 Horizontal angle correction using straight line detection in an equirectangular image 1170283 2017 3 1 2 i Abstract Horizontal angle correction using straight line detection in an equirectangular image

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

Mott散乱によるParity対称性の破れを検証

Mott散乱によるParity対称性の破れを検証 Mott Parity P2 Mott target Mott Parity Parity Γ = 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 t P P ),,, ( 3 2 1 0 1 γ γ γ γ γ γ ν ν µ µ = = Γ 1 : : : Γ P P P P x x P ν ν µ µ vector axial vector ν ν µ µ γ γ Γ ν γ

More information

橡ボーダーライン.PDF

橡ボーダーライン.PDF 1 ( ) ( ) 2 3 4 ( ) 5 6 7 8 9 10 11 12 13 14 ( ) 15 16 17 18 19 20 ( ) 21 22 23 24 ( ) 25 26 27 28 29 30 ( ) 31 To be or not to be 32 33 34 35 36 37 38 ( ) 39 40 41 42 43 44 45 46 47 48 ( ) 49 50 51 52

More information

ITS資料

ITS資料 Innovation Technology System Development WEB Design Program System Tool Technology CSS Service Developer CMS Domain Server HTML PROJECT A B C A B C www.stasiasbakery.com/ B C A www.example.com/ A B

More information

Report2009_Softsensor.dvi

Report2009_Softsensor.dvi / http://www-pse.cheme.kyoto-u.ac.jp/ kano/ 2009 03 Copyright c 2009 Manabu Kano. All rights reserved. / 1 1 soft-sensor NIR Process Analytical Technology PAT NIR / 2 2 2.1 x m (m =1, 2,,M) 1 y M y = a

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The Boston Public Schools system, BPS (Deferred Acceptance system, DA) (Top Trading Cycles system, TTC) cf. [13] [

1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The Boston Public Schools system, BPS (Deferred Acceptance system, DA) (Top Trading Cycles system, TTC) cf. [13] [ Vol.2, No.x, April 2015, pp.xx-xx ISSN xxxx-xxxx 2015 4 30 2015 5 25 253-8550 1100 Tel 0467-53-2111( ) Fax 0467-54-3734 http://www.bunkyo.ac.jp/faculty/business/ 1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The

More information

1 158 14 2 8 00225 2 1.... 3 1.1... 4 1.2... 5 2.... 6 2.1...7 2.2... 8 3.... 9 3.1... 10 3.2... 16 4.... 17 4.1... 18 4.2... 20 4.3... 22 5.... 23 5.1... 24 5.2... 28 5.3... 34 5.4... 37 5.5... 39 6....

More information

Nikon 2001ar

Nikon 2001ar Innovations in precision and optical technologies 2001 1 2 5 13 13 16 18 19 20 21 35 36 37 38 39 01 483,957 00 371,801 99 305,765 98 372,146 97 379,089 01 20,911 00 7,770 (18,233) 98 8,318 97 19,936 01

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

1 Visible spectroscopy for student Spectrometer and optical spectrum phys/ishikawa/class/index.html

1 Visible spectroscopy for student Spectrometer and optical spectrum   phys/ishikawa/class/index.html 1 Visible spectroscopy for student Spectrometer and optical spectrum http://www.sci.u-hyogo.ac.jp/material/photo phys/ishikawa/class/index.html 1 2 2 2 2.1................................................

More information

003村江.indd

003村江.indd *1 Study on Room ressure Control at Cleanroom art 1 Experiments on Room ressure Fluctuation with Door Operation and Local Ventilation Operation Yukitada MURAE *1 Tamio IWAMURA *2 Hiroyuki NAGAI *3 Shigeru

More information

2. TMT TMT TMT 1 TMT 3 1 TMT TMT PI PI PI SA PI SA SA PI SA PI SA

2. TMT TMT TMT 1 TMT 3 1 TMT TMT PI PI PI SA PI SA SA PI SA PI SA TMT TMT 181 8588 2 21 1 e-mail: n.kashikawa@nao.ac.jp TMT TMT TMT * 1 TMT TMT TMT SAC Science Advisory Committee 2012 8 13 1 1. 1 2 3 20 * 1 609 2. TMT TMT TMT 1 TMT 3 1 TMT 8 3 4 TMT PI 1 10 50 2.1 PI

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

untitled

untitled D nucleation 3 3D nucleation Glucose isomerase 10 V / nm s -1 5 0 0 5 10 C - C e / mg ml -1 kinetics µ R K kt kinetics kinetics kinetics r β π µ π r a r s + a s : β: µ πβ µ β s c s c a a r, & exp exp

More information

鉄鋼協会プレゼン

鉄鋼協会プレゼン NN :~:, 8 Nov., Adaptive H Control for Linear Slider with Friction Compensation positioning mechanism moving table stand manipulator Point to Point Control [G] Continuous Path Control ground Fig. Positoining

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 CD X Axis [mm] Y Axis [mm] - - Magnification: 150k (0, -30mm) 26 nm 24 nm 1 26 nm 26 nm (30mm, 0) Center (0, -30mm) 48 nm (0, 30mm) 48 nm 48 nm 48 nm 48 nm E-beam resist Resist image Silicon mold Dose

More information

= hυ = h c λ υ λ (ev) = 1240 λ W=NE = Nhc λ W= N 2 10-16 λ / / Φe = dqe dt J/s Φ = km Φe(λ)v(λ)dλ THBV3_0101JA Qe = Φedt (W s) Q = Φdt lm s Ee = dφe ds E = dφ ds Φ Φ THBV3_0102JA Me = dφe ds M = dφ ds

More information

"Moir6 Patterns on Video Pictures Taken by Solid State Image Sensors" by Okio Yoshida and Akito Iwamoto (Toshiba Research and Development Center, Tosh

Moir6 Patterns on Video Pictures Taken by Solid State Image Sensors by Okio Yoshida and Akito Iwamoto (Toshiba Research and Development Center, Tosh "Moir6 Patterns on Video Pictures Taken by Solid State Image Sensors" by Okio Yoshida and Akito Iwamoto (Toshiba Research and Development Center, Toshiba Corporation, Kawasaki) Reproduced resolution chart

More information

189 2015 1 80

189 2015 1 80 189 2015 1 A Design and Implementation of the Digital Annotation Basis on an Image Resource for a Touch Operation TSUDA Mitsuhiro 79 189 2015 1 80 81 189 2015 1 82 83 189 2015 1 84 85 189 2015 1 86 87

More information

ICS-01B-◇◇◇

ICS-01B-◇◇◇ ICS-02B-812 255 ... 4 Abstract... 4 1... 5 1.1... 5 1.2... 6 1.3... 7 2... 8 2.1... 8 2.2... 10 2.3...11 2.4... 13 2.5 2... 14 3... 16 3.1... 16 3.2... 17 4 2... 20 4.1... 20 4.2... 24 4.3... 27 4.4...

More information

untitled

untitled Oracle RAC 10gRAC Agenda 1. Why Oracle on Dell Dell Oracle on Linux Dell Oracle Dell Oracle 2. Oracle Clustering Solution Oracle Real Application Cluster 3. Case Study 4. Oracle RAC Solution on Dell Oracle

More information

xx/xx Vol. Jxx A No. xx 1 Fig. 1 PAL(Panoramic Annular Lens) PAL(Panoramic Annular Lens) PAL (2) PAL PAL 2 PAL 3 2 PAL 1 PAL 3 PAL PAL 2. 1 PAL

xx/xx Vol. Jxx A No. xx 1 Fig. 1 PAL(Panoramic Annular Lens) PAL(Panoramic Annular Lens) PAL (2) PAL PAL 2 PAL 3 2 PAL 1 PAL 3 PAL PAL 2. 1 PAL PAL On the Precision of 3D Measurement by Stereo PAL Images Hiroyuki HASE,HirofumiKAWAI,FrankEKPAR, Masaaki YONEDA,andJien KATO PAL 3 PAL Panoramic Annular Lens 1985 Greguss PAL 1 PAL PAL 2 3 2 PAL DP

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

bizhub PRESS f Light Production Printing 71 CO2 CO2 CO2 CO2 02

bizhub PRESS f Light Production Printing 71 CO2 CO2 CO2 CO2 02 Next Generation Digital Col Press bizhub PRESS f Light Production Printing 71 CO2 CO2 CO2 CO2 02 f Light Production Printing 60 Ecology Design 10117005 03 High Productivity 71 C7000/C7000P C600060 300

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11

1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 4 2 5F

More information

スペースプラズマ研究会-赤星.ppt

スペースプラズマ研究会-赤星.ppt 14 1 1 1 1 Pauline Faure 1 1 2 3 (1: 2: JAXA 3: IHI) IHI (C)(No.21560819) ISAS(JAXA) ISO TC20/SC14 / (Spall) 60~90% 2 (Cone) 1% (Jetting) CDV11227 Committee Draft for Comments CDV11227 Witness plate Sabot

More information

1.500 m X Y m m m m m m m m m m m m N/ N/ ( ) qa N/ N/ 2 2

1.500 m X Y m m m m m m m m m m m m N/ N/ ( ) qa N/ N/ 2 2 1.500 m X Y 0.200 m 0.200 m 0.200 m 0.200 m 0.200 m 0.000 m 1.200 m m 0.150 m 0.150 m m m 2 24.5 N/ 3 18.0 N/ 3 30.0 0.60 ( ) qa 50.79 N/ 2 0.0 N/ 2 20.000 20.000 15.000 15.000 X(m) Y(m) (kn/m 2 ) 10.000

More information

TOOLS for UR44 Release Notes for Windows

TOOLS for UR44 Release Notes for Windows TOOLS for UR44 V2.1.2 for Windows Release Notes TOOLS for UR44 V2.1.2 for Windows consists of the following programs. - V1.9.9 - Steinberg UR44 Applications V2.1.1 - Basic FX Suite V1.0.1 Steinberg UR44

More information

/ , ,908 4,196 2, ,842 38, / / 2 33 /

/ , ,908 4,196 2, ,842 38, / / 2 33 / MathWorks Automotive Conference 2014 ( ) ECU 0.1. 1 /30 1949 12 16 1,874 4 959 2 4,908 4,196 2,993 139,842 38,581 62 26 35 56 / 6 185 13 4 3 11 / 2 33 / 2014 3 31 0.1. 2 /30 ETC 0.2. 3 /30 1. 1. 2. 2.

More information

66 σ σ (8.1) σ = 0 0 σd = 0 (8.2) (8.2) (8.1) E ρ d = 0... d = 0 (8.3) d 1 NN K K 8.1 d σd σd M = σd = E 2 d (8.4) ρ 2 d = I M = EI ρ 1 ρ = M EI ρ EI

66 σ σ (8.1) σ = 0 0 σd = 0 (8.2) (8.2) (8.1) E ρ d = 0... d = 0 (8.3) d 1 NN K K 8.1 d σd σd M = σd = E 2 d (8.4) ρ 2 d = I M = EI ρ 1 ρ = M EI ρ EI 65 8. K 8 8 7 8 K 6 7 8 K 6 M Q σ (6.4) M O ρ dθ D N d N 1 P Q B C (1 + ε)d M N N h 2 h 1 ( ) B (+) M 8.1: σ = E ρ (E, 1/ρ ) (8.1) 66 σ σ (8.1) σ = 0 0 σd = 0 (8.2) (8.2) (8.1) E ρ d = 0... d = 0 (8.3)

More information

19 Systematization of Problem Solving Strategy in High School Mathematics for Improving Metacognitive Ability

19 Systematization of Problem Solving Strategy in High School Mathematics for Improving Metacognitive Ability 19 Systematization of Problem Solving Strategy in High School Mathematics for Improving Metacognitive Ability 1105402 2008 2 4 2,, i Abstract Systematization of Problem Solving Strategy in High School

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

JIS SI ppm JIS JIS JIS JIS Z 6005 SI System International d Unites JIS JIS J

JIS SI ppm JIS JIS JIS JIS Z 6005 SI System International d Unites JIS JIS J The standard and de facto standard in a science museum collection * Akio OCHIAI Abstract It was engaged in work of collection arrangement in CHIABA MUSSEUM OF SCIENCE AND INDUSTRY, and one year passed..from

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

5 7 3AS40AS 33 38 45 54 3 4 5 4 9 9 34 5 5 38 6 8 5 8 39 8 78 0 9 0 4 3 6 4 8 3 4 5 9 5 6 44 5 38 55 4 4 4 4 5 33 3 3 43 6 6 5 6 7 3 6 0 8 3 34 37 /78903 4 0 0 4 04 6 06 8 08 /7 AM 9:3 5 05 7 07 AM 9

More information

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd.

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd. LSM5Pascal Ver 3.2 GFP 4D Image VisArt 2004.03 LSM5PASCAL V3.2 LSM5PASCAL SW3.2Axiovert200M 1 1 2 3 3 4 4 5 SingleTrack 9 Multi Track 10,18 5 / 21 6 3 27 7 35 8 ( OFF) 40 LSM5PASCAL V3.2 LSM5PASCAL 65

More information

2

2 8 23 32A950S 30 38 43 52 2 3 23 40 10 33 33 11 52 4 52 7 28 26 7 8 8 18 5 6 7 9 8 17 7 7 7 38 10 12 9 23 22 22 8 53 8 8 8 8 1 2 3 17 11 52 52 19 23 29 71 29 41 55 22 22 22 22 22 55 8 18 31 9 9 54 71 44

More information

ISSN NII Technical Report Patent application and industry-university cooperation: Analysis of joint applications for patent in the Universit

ISSN NII Technical Report Patent application and industry-university cooperation: Analysis of joint applications for patent in the Universit ISSN 1346-5597 NII Technical Report Patent application and industry-university cooperation: Analysis of joint applications for patent in the University of Tokyo Morio SHIBAYAMA, Masaharu YANO, Kiminori

More information

Accuracy check of grading of XCT Report Accuracy check of grading and calibration of CT value on the micro-focus XCT system Tetsuro Hirono Masahiro Ni

Accuracy check of grading of XCT Report Accuracy check of grading and calibration of CT value on the micro-focus XCT system Tetsuro Hirono Masahiro Ni JAMSTEC Rep. Res. Dev., Volume 8, November 2008, 29 36 X CTm/pixel X CT X CT. -. mol/l KI KI CT CT X CT CT ; - - +- -- hirono@ess.sci.osaka-u.ac.jp Accuracy check of grading of XCT Report Accuracy check

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

Drift Chamber

Drift Chamber Quench Gas Drift Chamber 23 25 1 2 5 2.1 Drift Chamber.............................................. 5 2.2.............................................. 6 2.2.1..............................................

More information

untitled

untitled 1 2 3 4 Alteration of program parameters Effect Increase hybridization temperature Can prevent false positive signals, decrease background, can also increase dynamic range Decrease hybridization temperature

More information

MUFFIN3

MUFFIN3 MUFFIN - MUltiFarious FIeld simulator for Non-equilibrium system - ( ) MUFFIN WG3 - - JCII, - ( ) - ( ) - ( ) - (JSR) - - MUFFIN sec -3 msec -6 sec GOURMET SUSHI MUFFIN -9 nsec PASTA -1 psec -15 fsec COGNAC

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

5 30 B36B3 4 5 56 6 7 3 4 39 4 69 5 56 56 60 5 8 3 33 38 45 45 7 8 4 33 5 6 8 8 8 57 60 8 3 3 45 45 8 9 4 4 43 43 43 43 4 3 43 8 3 3 7 6 8 33 43 7 8 43 40 3 4 5 9 6 4 5 56 34 6 6 6 6 7 3 3 3 55 40 55

More information

soturon.dvi

soturon.dvi 12 Exploration Method of Various Routes with Genetic Algorithm 1010369 2001 2 5 ( Genetic Algorithm: GA ) GA 2 3 Dijkstra Dijkstra i Abstract Exploration Method of Various Routes with Genetic Algorithm

More information

Donald Carl J. Choi, β ( )

Donald Carl J. Choi, β ( ) :: α β γ 200612296 20 10 17 1 3 2 α 3 2.1................................... 3 2.2................................... 4 2.3....................................... 6 2.4.......................................

More information

80 X 1, X 2,, X n ( λ ) λ P(X = x) = f (x; λ) = λx e λ, x = 0, 1, 2, x! l(λ) = n f (x i ; λ) = i=1 i=1 n λ x i e λ i=1 x i! = λ n i=1 x i e nλ n i=1 x

80 X 1, X 2,, X n ( λ ) λ P(X = x) = f (x; λ) = λx e λ, x = 0, 1, 2, x! l(λ) = n f (x i ; λ) = i=1 i=1 n λ x i e λ i=1 x i! = λ n i=1 x i e nλ n i=1 x 80 X 1, X 2,, X n ( λ ) λ P(X = x) = f (x; λ) = λx e λ, x = 0, 1, 2, x! l(λ) = n f (x i ; λ) = n λ x i e λ x i! = λ n x i e nλ n x i! n n log l(λ) = log(λ) x i nλ log( x i!) log l(λ) λ = 1 λ n x i n =

More information

MSAC-US40

MSAC-US40 3-197-477-12(1) USB / MSAC-US40 b 2 MSAC-US40 3 VCCI B Program 2005, 2007 Sony Corporation Documentation 2007 Sony Corporation Memory Stick MagicGate Memory Stick Memory Stick Duo MagicGate Memory Stick

More information

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 42, No. 1, 2008 Li 2 B 4 O 7 (LBO) *, ** * ** ** Optical Scatterer and Crystal Growth Technology of LBO Single Crystal For Development with Optical Application

More information

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad SuperKEKB EMITTANCE GROWTH BY MISALIGNMENTS AND JITTERS IN SUPERKEKB INJECTOR LINAC Y. Seimiya, M. Satoh, T. Suwada, T. Higo, Y. Enomoto, F. Miyahara, K. Furukawa High Energy Accelerator Research Organization

More information

By Kenji Kinoshita, I taru Fukuda, Taiji Ota A Study on the Use of Overseas Construction Materials There are not few things which are superior in the price and the aspect of the quality to a domestic

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

19_22_26R9000操作編ブック.indb

19_22_26R9000操作編ブック.indb 8 19R900022R900026R9000 25 34 44 57 67 2 3 4 10 37 45 45 18 11 67 25 34 39 26 32 43 7 67 7 8 7 9 8 5 7 9 21 18 19 8 8 70 8 19 7 7 7 45 10 47 47 12 47 11 47 36 47 47 36 47 47 24 35 8 8 23 12 25 23 OPEN

More information

QMI_10.dvi

QMI_10.dvi ... black body radiation black body black body radiation Gustav Kirchhoff 859 895 W. Wien O.R. Lummer cavity radiation ν ν +dν f T (ν) f T (ν)dν = 8πν2 c 3 kt dν (Rayleigh Jeans) (.) f T (ν) spectral energy

More information

, vol.43, no.2, pp.71 77, Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interfer

, vol.43, no.2, pp.71 77, Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interfer , vol.43, no.2, pp.71 77, 2007. 1 Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interferometry 1 2 3 1 3 1 ( ) 1-1-45 2 ( ) 1 3 2-12-1 sugi@cs.titech.ac.jp

More information

untitled

untitled 2 3 WHO (kd ) Lol p 1 grass group? 27 35 Lol p 2? 11 Lol p 3? 11 Lol p 4? 57 Lol p 5? 25 30 Lol p 9? 31 35 Lol p10 12 Lol p11 16 15 How about recent studies? Animal exposure Biomedical tests Questionnaire

More information