PowerPoint プレゼンテーション

Size: px
Start display at page:

Download "PowerPoint プレゼンテーション"

Transcription

1 2016 年度活動報告 リソグラフィー専門委員会 高橋和弘リソグラフィー専門委員会委員長

2 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男 凸版印刷 ( 株 ) 小西敏雄 ( 株 ) ニューフレアテクノロジー 山口哲男 2

3 略語 EUVL Extreme Ultraviolet Lithography SMO Source Mask Optimization NIL Nano-Imprint Lithography SMO Single Machine Overlay DSA Directed-Self-Assembly MMO Mix and Match Overlay ML2 Maskless Lithography OL Overlay ITRS International Technology Roadmap for Semiconductor CD Critical Dimension NGL Next Generation Lithography BCP Block Copolymer LWR Line Width Roughness PMMA Polymethyl methacrylate MP Multiple Patterning PS Polystyrene SADP Self-Aligned Double Patterning hp half-pitch SAQP Self-Aligned Quadruple Patterning FDSOI Fully Depleted Silicon on Insulator SAOP Self-Aligned Octuple Patterning LGAA Lateral Gate All Around NA Numerical Aperture VGAA Vertical Gate All Around CAR Chemical Amplified Resist M3D Monolithic 3D TP Throughput 3

4 活動テーマ : リソグラフィの最新の技術動向の調査 研究 リソグラフィ専門委員会 : 高橋和弘 活動ゴールと活動領域 ゴール : 競争力アップ 領域 : リソグラフィ 今回活動内容 ( 詳細 ) 達成基準 活動内容 リソグラフィ最新技術動向に関し 主に学会を中心に調査 収集を行い 委員間での情報共有と報告を行う 半導体の市場動向について 専門家による講演会の企画 開催を行う 達成基準 講演会 学会報告会の開催と報告書最新技術動向 :3 件市場動向 :1 件 デバイス毎のソリューション情報収集 活動期間と使用したリソース 2016 年 5 月 ~2017 年 3 月 (6 回 ) 9 社のべ 108 時間 現金支出なし 活動成果 講演会 :4 件開催 [40/40 点 ] 技術 (EUVL):2 件 市場動向 :2 件 国際会議 / 学会報告会 :8 件開催 [40/40 点 ] (PMJ,BACUS, SPIE, MNE, EUVL シンポ, DSA シンポ Litho WS, NGL WS) 報告書 : 合計 17 点 204 ページ デバイス毎の情報収集 : 未着手 [0/20 点 ] 自己評価 課題 80/100 点 講演会は出席者に非常に好評 次年以降も継続する デバイス関連の情報収集が未着手であり 次年度では取り組む 4

5 技術講演会 1: 半導体と半導体製造装置の技術動向 ( 検査専門委員会と共同開催 ) 日時 2016 年 12 月 21 日 (1) 株式会社ニューフレアテクノロジー山口哲男様 ( リソグラフィ専門委員 ) (2) 株式会社 SUMCO 小森隆行様 (3) みずほ証券株式会社山本義継様 参加人数 : 61 名 ( 委員 13 名 その他会員 41 名 事務局 7 名 ) 回答数 :43 2: EUVL 最新技術動向 日時 2017 年 3 月 31 日 (1) エーエスエムエル ジャパン株式会社テクニカルマーケティングディレクター森崎健史様 (2) ギガフォトン株式会社代表取締役副社長兼 CTO 溝口計様回答数 :23 参加人数 : 52 名 ( 委員 8 名 その他会員 39 名 事務局 5 名 ) 技術講演会は出席者も多く好評であった 来年度も 同様なテーマで継続して開催する 5

6 MPU metal, DRAM の Solution 候補 (ITRS2015 draft より編集 ) 昨年の成果報告会資料 Minimum ½ Pitch N10 N7 N5 N3.5 Production Year DRAM metal Minimum litho. defined ½ pitch MPU metal Minimum litho. defined ½ pitch EUV Single- Patterning の限界 30nm to 20nm ArFi DP < 20nm to 14nm ArFi QP ArFi QP < 20nm to 14nm EUV SP DSA Narrow Options N7 世代のリソ技術は 2016 年中に選択される ArFi Quadruple- Patterning の限界 ArFi QP EUV DP < 14nm to11nm High NA EUV DSA Imprint Narrow Options EUV Double- Patterning の限界 EUV Double- Patterning の限界未満 EUV DP High NA EUV < 11nm to 7nm DSA Imprint ArFi OP 2016 年活動 : Narrow Options EUV QP High NA EUV Narrow ITRS(STRJ) sub 7nm DSA の活動が停止したため 講演会の開催や学会 Options Imprint ArFi OP を中心としたリソ技術の最新情報収集を行い リソ技術のまとめとデバイス製造への採用予測を行った The colors indicates the time frame in which research, development, and qualification/pre-production should be taking place to provide a solution for a given half pitch range. Research Required Development Underway Qualification / Pre-Production Continuous Improvement 6

7 各リソグラフィー技術の進捗 Lithography Solution EUVL NIL DSA ML2 Status 光源出力向上やコレクタミラーの寿命改善 量産に向けた進展はあるが 更なる改善が必要 低コントラストパターニングの課題解決への動き ペリクルとマスク検査が量産への課題 4 つの指標 ( スループット OL Defect Particle) が着実に進展 レプリカマスク製造用装置が出荷され レプリカマスクのインフラが整う 一時の勢いは無いものの Defect 低減等の課題に対し進展は見られる CoO 低減の進展に関して報告なし 学会での発表も 1 件で 進捗の詳細が不明 現状の TP は 1wph であり 量産適用は厳しい 7

8 EUV Lithography の進捗 NXE:33x0 Daily NXE:3350 Weekly TP (SPIE Microlithography 2017) 光源出力は大幅に改善し 200W を達成 (SPIE Microlithography 2017) Availability は 70% 75% に向上 像性能改善 ( レジストと新照明系 ) (SPIE Microlithography 2017) (SPIE Microlithography 2017) インフラの課題はペリクルとマスク検査 8

9 Nano Imprint Lithography の進捗 K17 補正 (SPIE Microlithography 2017) Filling 時間短縮により 80wph を達成 (SPIE Microlithography 2017) OL: 液浸との M&M や高次補正も進展 Mask Fabrication Tool FPA-1100NR2 ノズル表面処理 Before After (SPIE Microlithography 2017) (SPIE Microlithography 2017) 外来パーティクルは年々低減 19nmhpのレプリカマスクを製作 9

10 Directed Self Assembly の進捗 物理ガイド 中性 親水性 疎水性 中性 ブロック共重合体の例 PS-b-PMMA 化学ガイド O O 物理ガイドや化学ガイドで BCP を誘導 (SPIE Microlithography 2017) 電特評価により CD 均一性改善を確認 アニーリング時間 SAQP BCP-TrackAnneal 60min BCP-TrackAnneal 30min BCP-TrackAnneal 5min BCP-AnnealBatch 60min (SPIE Microlithography 2017) (imec/dsa Symposium 2015) Defectも改善が進み 1pcs/cm2レベルコスト低下に関しては大きな進展なし 10

11 デバイス毎の Lithography Solution 液浸 +MP + DSA?? Device Logic EUVL 10nm 7nm 5nm 3nm FinFET/FDSOI FinFET/LGAA FinFET/LGAA/VGAA VGAA M3D DRAM Storage Class Memory NAND 2D 3D 1Xnm 1Ynm 1Znm ReRAM / X Point etc ~15nm ~ Defect 及び CoO 低減が導入の鍵 NIL 11

12 Lithography Solution のまとめ Logic では 7nm ノードの一部のレイヤーから EUVL の採用が開始される見込み NAND では 一部のメーカで NIL が採用が始まる見込み NGL が 液浸 +MP に代わりメモリの量産に採用されるポイントは CoO の改善と Defect の低減 12

13 END 13

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

フォトポリマー懇話会

フォトポリマー懇話会 半導体向け EUV リソグラフィの現状と展望 株式会社東芝セミコンダクター & ストレージ社半導体研究開発センターリソグラフィプロセス技術開発部内山貴之 2015 Toshiba Corporation 内容 1. はじめに デバイスのスケーリングとリソグラフィ技術 次世代リソグラフィ技術の現状と課題 2. EUV リソグラフィの概要 EUV リソグラフィの課題 高 NA-EUV リソグラフィ 3.

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

Main-Title

Main-Title 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG6(PIDS 及び RF&AMS) 活動報告 ITRS2.0~ デバイス微細化の終焉と 3D Functional Scaling STRJ WS 2015 2016 年 3 月 4 日品川 : コクヨホール WG6 主査 : 福崎勇三 ( ソニー ) WG6 副主査 : 井上裕文 ( 東芝 ) Work in Progress - Do not publish STRJ WS: March 4,

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

第7章 WG5 リソグラフィ

第7章 WG5 リソグラフィ 第 6 章 WG5 リソグラフィ 6-1 はじめに 2008 年度 WG5( リソグラフィ WG) では ITRS2008update 版の作成に向けて 技術世代に対応した解決策候補 (Potential Solutions) の技術調査 各種テーブルの見直し クロスカット活動などを行うとともに 2009 版の作成指針を絞り込んだ Lithography itwg は SEMATECH から出ているリーダーの下

More information

先端ナノパターニング材料 プロセス国際ワークショップ参加申込書 氏名所属住所 TEL 懇親会参加します 参加しません ( いずれかを消して下さい )

先端ナノパターニング材料 プロセス国際ワークショップ参加申込書 氏名所属住所 TEL  懇親会参加します 参加しません ( いずれかを消して下さい ) 先端ナノパターニング材料 プロセス 国際ワークショップ 大阪大学産業科学研究所田川精一 早稲田大学理工学術院 総合研究所鷲尾方一 謹啓 半導体デバイスの微細化は進展を続けており 本年は MPU DRAM デバイスでは 32nm NAND フラッシュデバイスでは 22nm 以下のそれぞれ最小ハーフピッチパターンが求められています 2015 年にはそれぞれ 22nm 16nm 2018 年にはそれぞれ

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 1 2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 CPU 1 1 2 2 n CPU SRAM DRAM CPU 3 4 5 6 7 N+ N+ P SRAM DRAM 8 Computer Architecture 9 DRAM 3 4 10 11 Ta 2

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

スライド 1

スライド 1 LER/LWR WG4 /WG5 /WG6(PIDS)/WG11 65nm WG11 1 LER/LWR 2004 UPDATE LER/LWR 2 1. LER/LWR 2. * * 3. 4. * Lithography/PIDS/Interconnect 5. 3 1. LER/LWR Line-Edge Roughness (LER) L y Line-Width Roughness (LWR)

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt ITRS 2013 年版の概要と ITRS の編集方針 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長石内秀美 (( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません 1 主要略語一覧 (Glossary) ERD: Emerging Research

More information

PowerPoint Presentation

PowerPoint Presentation 1 Litho 計測の現状と課題 ( 計測 WG) & 故障解析 SWG 活動状況報告 2 Litho 計測の現状と課題 ( 計測 WG) メンバー 河村栄一 ( 富士通マイクロエレクトロニクス ) 山崎裕一郎 ( 東芝 ) 上澤史且 (SONY) 清水澄人 ( パナソニック ) 横田和樹 (NECEL) 市川昌和 ( 東京大学 ) 水野文夫 ( 明星大学 ) 小島勇夫 ( 産総研 ) 池野昌彦 (

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

2

2 1 2 3 2004 4 5 6 7 [ ] 2004 12 2 2005 1 2 [ ] 5 [ ] 8 9 22 23 29 23 19 10 11 12 6 13 14 15 16 6000 17 20 20 20 20 6 18 25 25 25 25 25 19 2 20 21 22 23 24 25 NHK 26 27 28 29 30 31 32 33 34 AOR 35 36 CD

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG3 Front-End Processes(FEP) 新材料 新構造の導入を支える FEP 技術 水島一郎 ( 東芝 ) 内容 STRJ FEP のメンバー スコープ 今年度の活動 新材料 新構造の導入と FEP 技術 Si ウェーハ 450mm 化状況 まとめ 略号 FeRAM: Ferroelectric Random Access Memory HP: High Performance /

More information

<4D F736F F D20838A835C834F CC B94C52D32>

<4D F736F F D20838A835C834F CC B94C52D32> 第 2 編リソグラフィ WG 第 1 章はじめに 1-1 背景 ITRS ならびに STRJ のロードマップには デバイスメーカ主体の観点で今後のデバイスの将来像が描かれている これはその将来のデバイスの実現のために それぞれの技術研究開発を集約し市場にデバイスが供給可能な体制をいつまでに整える必要があるかを明示している 図 2-1-1 デバイス量産立ち上がりカーブ Figure 2-1-1 Production

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

30

30 30 (1) ( ) ( ) ( 18 1 ) 1 2 % 3 ( 5,000 2,500 ) 3,700 1,900 2,100 1,000 50 25 240 120 4 5 175 6 7 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 8 9 11 12 13 14 15 16 16 17 16

More information

Introduction to Microfabrication

Introduction to Microfabrication 2005 Introduction to Microfabrication 1 1.1 Microfabrication disciplines Microfabrication technologies IC industry and related industries MEMS, solar cells, flat-panel displays, optelectronics In-plane

More information

Spansion_Corporate_Presentation

Spansion_Corporate_Presentation 世界の半導体動向と 生き残りをかけた日本の半導体 株式会社スパンション イノベイツ デザイン代表取締役社長兼スパンション イノベイツ株式会社技術本部長代理独古康昭 1.Oct. 2013 1 2013 SIDL. 世界の半導体半導体動向 2 2013 SIDL. 半導体会社構造 Business R&D Products Customer Strength Weakness Model Fabless

More information

小川/小川

小川/小川 p TRE p Mp p p M p S p p Tp M p p p p p p p p M T T T p p MT MR MR M M p p M M p p M T T T T T T T T S T M p M p T p M E M M p p p p TT T T p p p T T p T T T T T T T p p pt T T T p S T S S T p T T T T

More information

スライド 1

スライド 1 モデリング & シミュレーションの 現状と経済的効果 モデリング / シミュレーション WG (WG10) 佐藤成生青木伸俊 國清辰也 木村光紀 泉直希 麻多進 海本博之 林洋一 藤原秀二 西尾修 中村光利 小方誠司 和田哲典 佐野伸行 三浦道子 大野隆央 谷口研二 小谷教彦 STRJ WS: March 6, 2009, WG10 1 構成メンバー 氏名組織役割主担当領域 佐藤成生 富士通マイクロエレクトロニクス主査

More information

untitled

untitled C08036 C08037 C08038 C08039 C08040 1. 1 2. 1 2.1 1 2.2 1 3. 1 3.1 2 4. 2 5. 3 5.1 3 5.2 3 6. 4 7. 5 8. 6 9. 7 10. 7 11. 8 C08036 8 C08037 9 C08038 10 C08039 11 C08040 12 8 2-1 2-2 T.P. 1 1 3-1 34 9 28

More information

スライド 1

スライド 1 Work in Progress - Do not publish STRJ WS: March 4, 2011, WG3 FEP 1 STRJ WG3(FEP) 活動報告 - 今後の FEP 技術 - 2011 年 3 月 4 日 北島洋 ( ルネサスエレクトロニクス ) Work in Progress - Do not publish STRJ WS: March 4, 2011, WG3 FEP

More information

E4230JD_ qx4j

E4230JD_ qx4j 1 2 3 4 5 6 7 8 9 10/0 11 12 NB304 DVR-16HD DVR-16HD DVR-16HD 2 ALL Point Point VR Video Point DVR-16HD CD CD DVD-V DVD-V VR Video Point DVR-16HD 1 2 3 4 5 6 7 8 9 10/0 11 12 16HD NB304 Point

More information

<5461726F2D3137944E8AEE967B95FB906A8DC58F492E6A7464>

<5461726F2D3137944E8AEE967B95FB906A8DC58F492E6A7464> 187 188 189 30 4 1 60 190 17 191 18 5 15 192 etc. 193 195 196 ( ) HP 197 198 199 200 201 202 203 , 1 -- NPO 204 205 43 11 40 207 m 208 m 209 4 210 211 213 214 215 216 217 218 219 220 1 221 233

More information

PALL NEWS vol.126 November 2017

PALL NEWS vol.126 November 2017 PALL NEWS November 2017 Vol.126 PALL NEWS vol.126 November 2017 NEW =2000 9660 41.4 MPa 24 MPa NFPA T2.06.01 R2-2001 CAT C/90/* (1x10 6 0-28 MPa 1x10 6 29 120 C 60 C 450 Pa 340 Pa 1 MPa JIS B 8356-3/ISO

More information

レター1203

レター1203 Amazon Amazon Amazon Amazon Amazon Amazon Amazon Amazon SKU Amazon Amazon Amazon Amazon Amazon SKU 1) A B 2) A 3)A B B 4) A 5) B 6) 1~5 Amazon 14.6% 10 14.6% 14.6% FBA M M M Amazon Amazon Amazon

More information

2

2 1 2 3 4 5 6 ( ) 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 6+ 6-5 2 6-5- 6-5+ 5-5- 5- 22 6+ 6-6+ 6-6- S-P time 10 5 2 23 S-P time 5 2 5 2 ( ) 5 2 24 25 26 1 27 28 29 30 95 31 ( 8 2 ) http://www.kishou.go.jp/know/shindo/kaisetsu.html

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 CD X Axis [mm] Y Axis [mm] - - Magnification: 150k (0, -30mm) 26 nm 24 nm 1 26 nm 26 nm (30mm, 0) Center (0, -30mm) 48 nm (0, 30mm) 48 nm 48 nm 48 nm 48 nm E-beam resist Resist image Silicon mold Dose

More information

中小企業の発展と政策支援

中小企業の発展と政策支援 1 2 2 3 5 6 10 12 13 14 16 19 20 21 ODA Official Development Assistance IT Information Technology 80 1 2 IT 3 1980 IT 70 IT 4 99 66 53 68 55 51 45 5 6 7 8 9 1971 1979 enterprise 10 1997 18 SBS 2000 SBS

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

E4385JD_ qx4j

E4385JD_ qx4j 4 5 6 7 8 9 10/0 11 12 DVHR-V160 1 2 3 DVHR-V160 2 ALL Point Point VR Video DVD-R Point DVHR-V160 VCR VCR CD CD DVD-V DVD-V DVD-R DVD-R VR Video Point 1 2 3 4 5 6 7 8 9 10/0 11 12 DVHR-V160

More information

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc.

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc. < コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 Copyright Gigaphoton Inc. ギガフォトンの事業概要 2 半導体露光 光源ビジネス 液晶アニール 光源ビジネス 本体販売先 部品販売先 ASML, Nikon, Canon Intel, Toshiba, Samsung, TSMC など半導体メーカ

More information

無印良品のスキンケア

無印良品のスキンケア 2 3 4 5 P.22 P.10 P.18 P.14 P.24 Na 6 7 P.10 P.22 P.14 P.18 P.24 8 9 1701172 1,400 1701189 1,000 1081267 1,600 1701257 2,600 1125923 450 1081250 1,800 1125916 650 1081144 1,800 1081229 1,500 Na 1701240

More information

DV-DT1 取扱説明書

DV-DT1 取扱説明書 2 ALL Point Point VR Video DVD-R Point VCR VCR VCR CD CD CD DVD-V DVD-V DVD-R DVD-R VR Video Point Point [ 7 6 5 4 3 2 1 27 26 25 24 23 22 21 20 19 18 17 16 1514 13 12 11 10 98 6 5 4 3 2 1 12 1110

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

H1-4_0918

H1-4_0918 Dell Wyse 20189 212-8589 580 20F E jp_wyse@dell.com - VDI Dell Technologies Wyse Thin 10 Wyse Management Suite 22.4 % 1 Microsoft Skype For Business W Wyse 3040 Wyse Technology2012 30 DellVDI IDC, Worldwide

More information

Microsoft Word - ランチョンプレゼンテーション詳細.doc

Microsoft Word - ランチョンプレゼンテーション詳細.doc PS1-1-1 PS1-1-2 PS1-1-3 PS1-1-4 PS1-1-5 PS1-1-6 PS1-1-7 PS1-1-8 PS1-1-9 1 25 12:4514:18 25 12:4513:15 B PS1-1-10 PS1-2-1 PS1-2-2 PS1-2-3 PS1-2-4 PS1-2-5 PS1-2-6 25 13:1513:36 B PS1-2-7 PS1-3-1 PS1-3-2

More information

JAIST Reposi Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu

JAIST Reposi   Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu JAIST Reposi https://dspace.j Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: 661-666 Issue Date 2009-10-24 Type Conference Paper Text version

More information

1.WSTS 2018 年春季半導体市場予測について <2018 年 6 月 5 日 ( 火 )15:00 公表文 > 〇 WSTS(WORLD SEMICONDUCTOR TRADE STATISTICS: 世界半導体市場統計 ) の 2018 年春季半導体市場予測会議が 5 月 22 日 ~24

1.WSTS 2018 年春季半導体市場予測について <2018 年 6 月 5 日 ( 火 )15:00 公表文 > 〇 WSTS(WORLD SEMICONDUCTOR TRADE STATISTICS: 世界半導体市場統計 ) の 2018 年春季半導体市場予測会議が 5 月 22 日 ~24 1.WSTS 218 年春季半導体市場予測について 〇 WSTS(WORLD SEMICONDUCTOR TRADE STATISTICS: 世界半導体市場統計 ) の 218 年春季半導体市場予測会議が 5 月 22 日 ~24 日の 3 日間 ウィーンで開催された 予測会議は年 2 回 5 月と 11 月に開催される WSTS に加盟している半導体メーカは現在

More information

pall_news116

pall_news116 . はじめに 自己組織化リソグラフィ (DSAL) における ブロックコポリマー (BCP) レイヤーにおいて ゲル状欠陥の低減が一つの課題である [] 従来の化学増幅型レジスト (CAR) においては 粗大分子量のポリマー分子と 難溶解性官能基の偏りによる難溶解性ポリマー成分の凝集がmicrobridge のようなゲル状欠陥の要因と考えられている DSAL においては ポリマーの分子量自体がCARに比べ大きいことから

More information

Red Hat Enterprise Linux 6 Portable SUSE Linux Enterprise Server 9 Portable SUSE Linux Enterprise Server 10 Portable SUSE Linux Enterprise Server 11 P

Red Hat Enterprise Linux 6 Portable SUSE Linux Enterprise Server 9 Portable SUSE Linux Enterprise Server 10 Portable SUSE Linux Enterprise Server 11 P Dynamic System Analysis (DSA) を使用した稼動システムのインベントリー情報収集について 本文 IBM Dynamic System Analysis (DSA) は サーバーのインベントリ情報を収集し ファイル出力することが可能な診断ツールです 稼動システムのインベントリー情報を収集することで 障害時の問題判別を円滑に実施することができます 以下の IBM の Web サイトから入手することが可能です

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

Readout No.47_05_特別寄稿

Readout No.47_05_特別寄稿 GGuest Forum Forum 半導体製造技術の最新動向と計測制御技術特別寄稿 特別寄稿 半導体製造技術の最新動向と計測制御技術 Current Device Processes and Required Sensing & Control Technologies in Semiconductor Chip Manufacturing 榎並弘充 Hiromichi ENAMI 株式会社日立ハイテクノロジーズ

More information

平成16年度基準認証研究開発事業

平成16年度基準認証研究開発事業 17 1 1 2 2 2 4 6 6 300mm 14 22 31 37 APPENDEX 41 53 53 57 JIS JIS 66 78 78 79 80 82 83 83 87 89 DVD QR JIS 1 QR () 2 QR 3 4 5 DVD DVD DVD DVD DVD PC DVD 1990 MPU DVD VTR DVD LSI DVD 1970~1980 VTR DVD DVD

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

untitled

untitled NO. 2007 10 10 34 10 10 0570-058-669 http://www.i-nouryoku.com/index.html (40 ) () 1 NO. 2007 10 10 2.2 2.2 130 70 20 80 30 () () 9 10 () 78 8 9 () 2 NO. 2007 10 10 4 7 3 NO. 2007 10 10 40 20 50 2 4 NO.

More information

untitled

untitled -- -- -3- % % % 6% % % 9 66 95 96 35 9 6 6 9 9 5 77 6 6 5 3 9 5 9 9 55 6 5 9 5 59 () 3 5 6 7 5 7 5 5 6 6 7 77 69 39 3 6 3 7 % % % 6% % % (: ) 6 65 79 7 3 36 33 9 9 5 6 7 3 5 3 -- 3 5 6 76 7 77 3 9 6 5

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

03_委託テーマ発表資料(その2)(p.89-p.134).pdf

03_委託テーマ発表資料(その2)(p.89-p.134).pdf 89 MEMS 2 / 5-0 0-20 90 3 Beyond-CMOS CNT CNT CNT NEC 4 NEDO (80 NEDO 2008.05 Nature Nanotechnology NEDO (8 22 CNT CNT NEDOPJ CNT NEDO M 3 5 Nature Nanotechnology 3, 289-294 (2008) 6 9 7 8 92 9 (!!! '!!!

More information

卒業論文

卒業論文 Cu-Ru ...7 1.1....7 1.2....7 1.3....8...9 2.1....9 2.1.1....9 2.1.2....10 2.2.... 11 2.2.1.... 11 2.2.2. ()...12 2.2.3. ()...13 2.2....15...18 3.1. Cu z ...18 3.1.1....18 3.1.2....19 3.1.3....29 3.2.

More information

Web-ATMによる店舗向けトータルATMサービス

Web-ATMによる店舗向けトータルATMサービス Web- Total Service for Stores by Web- 土田敬之 阿久津和弘 山本耕司 高木晋作 川端正吾 幾見典計 あらまし Automated Teller Machine 2002 2004 Web- 2013 3 5 4400 Web- Abstract Automated teller machines (s) for financial institutions have

More information

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード]

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード] 半導体メモリが新応用を開拓した例 集積デバイス工学半導体メモリ 2010 年 5 月 14 日東京大学大学院工学系研究科電気系工学竹内健 E-mail : takeuchi@lsi.t.u-tokyo.ac.jp http://www.lsi.t.u-tokyo.ac.jp p y jp アップル社の ipod nano 2005 年 9 月発売 フラッシュメモリの記憶容量によって価格の異なるラインアップ

More information

untitled

untitled ~ ~ Xn,m n Yn,m n Zn,m n N Y, N Z Y = 12 0 Y0, i Z Z i = 12 0 0, i i z y z m n y m n m n N N N Z N Y X + + =, 0,, α 0 0 0 Z Y = α z y z m n y m n m n N N N Z N Y X + + =,, 0, α 0 0 0 Y Z = α Y N Z N

More information

NEXT 1 2 3 1 2 3 4 5 6 1 2 1 2 1 1 1 1 2 3 1 2 3 4 5 6 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 1 2 3 1 1 2 1 2 3 4 5 6 7 1 2 3 1 2 1 2 3 4 5 6 7 8 9 1 1 1 2 1 2 1 2 3 4 5 6 1 2

More information

19 Figure-2 General Layout of Mahaica-Mahicony-Abary Lower River Basin Rural Development Plan Study Location Map of Cooperative Republic of Guyana PROJECT DIGEST 1. PROJECT TITLE : Mahaica-Mahaicony-Abary

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

VXPRO R1400® ご提案資料

VXPRO R1400® ご提案資料 Intel Core i7 プロセッサ 920 Preliminary Performance Report ノード性能評価 ノード性能の評価 NAS Parallel Benchmark Class B OpenMP 版での性能評価 実行スレッド数を 4 で固定 ( デュアルソケットでは各プロセッサに 2 スレッド ) 全て 2.66GHz のコアとなるため コアあたりのピーク性能は同じ 評価システム

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

DiovNT

DiovNT トピックス X 線露光技術の現状 田口孝雄, 松井安次 技術研究組合 超先端電子技術開発機構 Advances in Proximity X-ray Lithography Takao TAGUCHI and Yasuji MATSUI Association of Super-Advanced Electronics Technologies (ASET) This article reviews

More information