スライド タイトルなし

Size: px
Start display at page:

Download "スライド タイトルなし"

Transcription

1 WG5: ArF NGL

2 STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27

3 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint, Innovation 3. CD 4.

4 STRJ WS: March 5, 2004, WG5 Lithography 4 Lithography ITRS Public Conference Dec. 2, 2003 Hsinchu, Taiwan

5 STRJ WS: March 5, 2004, WG5 Lithography (Lithography requirements) MPU 10% 10% 5X NGL NGL

6 EPL 22 nm 16 nm 65 nm 2004 MPU MEF STRJ WS: March 5, 2004, WG5 Lithography 6

7 STRJ WS: March 5, 2004, WG5 Lithography 7 CD CD Year of Production DRAM DRAM ½ Pitch (nm) Contact in resist (nm) Contact after etch (nm) Overlay CD control (3 sigma) (nm) MPU MPU ½ Pitch (nm) (uncontacted gate) MPU gate in resist (nm) MPU gate length after etch (nm) Contact in resist (nm) Contact after etch (nm) Gate CD control (3 sigma) (nm) Chip size (mm 2 ) Minimum field area

8 STRJ WS: March 5, 2004, WG5 Lithography 8 First Year of IC Production nm nm nm nm nm nm nm nm nm nm nm nm nm nm nm nm nm + RET Technology Options at Technology Nodes (DRAM Half Pitch, nm) 193 nm + RET + litho-friendly designs nm + RET + litho-friendly designs 193 nm immersion lithography EPL, PEL 157 nm + RET + litho-friendly designs 45 Immersion 193 nm lithography + RET + litho-friendly design EUV, EPL, M L2 PEL EUV Narrow options 157 nm immersion + RET + litho-friendly designs Narrow 32 EPL, Imprint lithography options ML2 Narrow Options DRAM Half Pitch (Dense Lines) EUV, EPL 22 ML2, Imprint lithography Narrow Innovative Technology options 16 Innovative Technology Narrow ML2, EUV + RET options ML2 = Maskless Lithography EUV = Extreme Ultra Violet PEL = Proximity Electron Lithography EPL = Electron Projection Lithography RET = Resolution Enhancement Technology

9 STRJ WS: March 5, 2004, WG5 Lithography X-ray ion projection lithography Immersion lithography Imprint lithography

10 STRJ WS: March 5, 2004, WG5 Lithography KrF PSM ArF + PSM 65@ @ @ @ PSM 2001 Edition 2003 Edition PEL PXL IPL ML2 EPL EUV Innovation ArF + RET Immersion Litho Friendly RET Immersion Litho Friendly PEL ML2 EPL 130@ @2004 EUV RET Imprint Innovation

11 STRJ WS: March 5, 2004, WG5 Lithography nm 5 157nm EUV EPL ( ) (ROI: Return on Investment) ArF F2 (CaF 2 ) 1.8 nm (3 ) < 19 nm OPC (Optical Pattern Correction) LER SEM 30 nm.

12 STRJ WS: March 5, 2004, WG5 Lithography <45 nm 5 ROI NGL ( ) 7nm 7.2nm <30nm LER <1 nm (3 sigma) <7.2 nm

13 STRJ WS: March 5, 2004, WG5 Lithography 13 I. II. III.Immersion Immersion Lithography IV. Next Generation Lithography i. F2 ii. EUVL iii. EPL iv. Leepl v. ML2: Maskless Lithography vi. Imprint vii. Innovative Technology

14 STRJ WS: March 5, 2004, WG5 Lithography KrF K 1 =0.40 NA=0.85 K 1 =0.30 NA=0.95 ArF F2 = k 1 K 1 =0.40 NA=1 20 K 1 =0.30 NA=1.30 λ NA n=1.44 ArF Immersionn=1.37 F2 Immersion EUVL

15 STRJ WS: March 5, 2004, WG5 Lithography 15 Precision Equipment Company Development Headquarter The status of ArF

16 ArF ArF ArF OPC Mask Error Factor STRJ WS: March 5, 2004, WG5 Lithography 16

17 STRJ WS: March 5, 2004, WG5 Lithography 17 Precision Equipment Company Development Headquarter The status of Immersion

18 STRJ WS: March 5, 2004, WG5 Lithography 18 ( ) (index = n) Projection optics Wafer stage ( ) Wafer NA = n sin θ = k1 λ / NA = k1 λ / (n sin θ) = k1 (λ/n) / sin θ DOF = k2 (λ/n) / 2 (1-cos θ ) ~ k2 (λ/n) / sin 2 θ = k2 n λ / NA 2

19 1 STRJ WS: March 5, 2004, WG5 Lithography n Glass = 1.50 n H2O Air =

20 STRJ WS: March 5, 2004, WG5 Lithography 20

21 STRJ WS: March 5, 2004, WG5 Lithography 21 NA

22 STRJ WS: March 5, 2004, WG5 Lithography 22 ( /n) NA = n sin θ Resolution = k 1 λ / NA = k 1 λ / (n sin θ) = k 1 (λ/n) / sin θ /n ArF Air nm KrF H 2 O nm F2 N nm ArF H 2 O nm F2 PFPE nm ArF 134nm F 2 115nm

23 STRJ WS: March 5, 2004, WG5 Lithography 23 ArF 193nm 193nm 193nm (0.3mm) TE TM θ TM TE n wafer Wafer

24 STRJ WS: March 5, 2004, WG5 Lithography 24 ArF 1 : λ=193nm 65nm L/S = 140nm 65nm L/S

25 STRJ WS: March 5, 2004, WG5 Lithography 25 ArF 2 : λ=193nm 50nm L/S = 110nm 45nm L/S

26 2 STRJ WS: March 5, 2004, WG5 Lithography 26 n Glass = n Air = 1.00 n H 2O = 1.44 n Resist = 1.70

27 STRJ WS: March 5, 2004, WG5 Lithography 27 1 n = 1.00 n = 1.70 s p NA = 0.80

28 2 n= =64 =90 =100 Contrast S-Polar. P-Polar. non-polar. n= NA STRJ WS: March 5, 2004, WG5 Lithography 28

29 STRJ WS: March 5, 2004, WG5 Lithography 29 ( ) TE- TE TM TM nm p-polarization contrast nm nm 0.20

30 STRJ WS: March 5, 2004, WG5 Lithography 30 ArF ArF NA=1.05 F2 NA=0.85 ArF NA=1.23 F2 NA=0.93 Optics Mask Binary Alt-PSM Alt-PSM + ArF NA=1.0 65nm L/S 55nm L/S 50nm L/S ArF NA=1.2 60nm L/S 50nm L/S 45nm L/S

31 STRJ WS: March 5, 2004, WG5 Lithography 31 (Local fill) NA /

32 STRJ WS: March 5, 2004, WG5 Lithography 32 Precision Equipment Company Development Headquarter The status of F 2

33 F ArF or. CoO F vs. 2 STRJ WS: March 5, 2004, WG5 Lithography 33

34 STRJ WS: March 5, 2004, WG5 Lithography 34 Precision Equipment Company Development Headquarter The status of EUVL

35 STRJ WS: March 5, 2004, WG5 Lithography 35 The Advantages of EUVL EUVA, ASET, EUV LLC, International SEMATECH, MEDEA+. - Extendibility - High k 1 Lithography 45nm k 1 =0.85 (NA=0.25)

36 EUVL EUVL (ITRS) 25nm 5mJ/cm wph 120wph( 100wph) $20M nm ASET EUVA MIRAI 2005 EUVL STRJ WS: March 5, 2004, WG5 Lithography 36

37 STRJ WS: March 5, 2004, WG5 Lithography 37 EUVL EUVL EUV (100W 10-20W) Chemical Contamination EUV EUV EUV 60-70% 1/3 EUV 6 90% EUV

38 STRJ WS: March 5, 2004, WG5 Lithography 38 Precision Equipment Company Development Headquarter The status of EB Stepper The status of EB Stepper

39 EPL System Concept (4X Binary Mask) Scattering Contrast Deflector Beam Deflection Stage Scan Beam step/ Stage scan Reticle Transmitted Beam 2um Projection Lens 1 Projection Lens 2 Aperture Wafer Stencil Reticle Scattered Beam Reticle 1mm Sub-field 1x1mm Sub-fields Deflector Beam Deflection Reticle Stage Reticle Wafer Stage Sub-field 0.25x0.25mm Stage Scan Field size from Wafer one 200mm reticle 10mm x 25mm (Complementary) 20mm x 25mm Projection Lens x1/4 Mag. (Non-complementary) Wafer Chip Main Field Sub- Field Pattern Area ~300 mm 250 um Minor Strut 5mm ~25 mm 1mm Reticle Skirt 1.13mm 1/4 0.17mm Pattern on Wafer Stage Scan Beam Steppin g SF Stitching 250 STRJ WS: March 5, 2004, WG5 Lithography 39

40 STRJ WS: March 5, 2004, WG5 Lithography 40 The Advantages of EPL 200mm EPL Reticle DOF) - ( ) MASK SELETE EPL - SELETE DOF> 1:1CH verified! 50nm CH (FEP- 137) 40nm iso-l

41 EPL 6 SELETE Stitching SELETE I-SEMATECH EPL Reticle Stage Vacuum Chamber EO Column Wafer Vacuum Loader Wafer Stage Vacuum Chamber STRJ WS: March 5, 2004, WG5 Lithography 41

42 STRJ WS: March 5, 2004, WG5 Lithography 42 Dose (µc/cm 2 ) 8.5 EPL60nm Focus (µm)

43 STRJ WS: March 5, 2004, WG5 Lithography 43 Precision Equipment Company Development Headquarter The status of PEL

44 STRJ WS: March 5, 2004, WG5 Lithography 44 The Advantages of PEL 2kV Concept Low Energy E-beam Advantages No Proximity Effect Low Power Process Distortion Correction (Mask Error,Chip Error) High Sensitivity of Resist High Resolution Wide Process Window Easy for Accurate M&M Low Mask Cost High Throughput Parallel E-beam and simple scan Proximity Projection Simple Optics No Space Charge Effect Low Unit Cost High Throughput High Resolution

45 PEL 65nm Si FIB/FEB Gun Lens Aperture E-beam Main Deflector 50 m Distortion Correction Deflector Stencil Mask Wafer CH size:65nm/pitch:130nm 26mm X 33mm STRJ WS: March 5, 2004, WG5 Lithography 45

46 PEL STRJ WS: March 5, 2004, WG5 Lithography 46 HC In-situ In-situ CD IP CY ITRS Design Node nm β tool Production Tool PEL 45nm β tool Production Tool

47 Precision Equipment Company Development Headquarter The status of Mask Less Lithography STRJ WS: March 5, 2004, WG5 Lithography 47

48 Cell Projection Character Projection BLOCK LEEBDW Mapper FE BAA CLA MCA ETEC/ EB DMD ( ) DUV EUV DIVA MCC-VS MCC-BLOCK STRJ WS: March 5, 2004, WG5 Lithography 48

49 STRJ WS: March 5, 2004, WG5 Lithography 49 ML? 5wph or 10wph?

50 STRJ WS: March 5, 2004, WG5 Lithography 50 Precision Equipment Company Development Headquarter The status of Imprint

51 Imprint ( ) STRJ WS: March 5, 2004, WG5 Lithography 51

52 Precision Equipment Company Development Headquarter The status of Innovative Technology STRJ WS: March 5, 2004, WG5 Lithography 52

53 STRJ WS: March 5, 2004, WG5 Lithography 53 Innovative Technology for 22nm

54 STRJ WS: March 5, 2004, WG5 Lithography KrF PSM Ar F + PSM @ @ @ @2016 PSM 2001 Edition 2003 Edition PEL PXL IPL ML2 EPL EUV Innovation ArF + RET Immersion Litho Friendly RET Immersion Litho Friendly PEL ML2 EPL 130@ @2004 EUV RET Imprint Innovation

55 STRJ WS: March 5, 2004, WG5 Lithography Outline ITRS Lithography Roadmap 2. (Potential Solution) ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint, Innovation 3. CD 4.

56 Importance of Mask CD Tables CD Control Starts at the Mask 16 nm Node mm Overlay and CD Control after Exposure 152mm 152mm 40 nm mask line width 20 nm scattering bars CD Control after Etch EUV 10 nm printed line width 0.1nm 7 nm physical line width STRJ WS: March 5, 2004, WG5 Lithography 56

57 STRJ WS: March 5, 2004, WG5 Lithography 57 SEM Scatterometry Scatterometry CD-AFM Commercially available Software comparison of top down line scan of edge to golden image Tilt Beam SEM Scatterometry CD-AFM R&D Software to convert top down image to 3D image All suppliers appear to offer tilt beam now Dual Beam FIB (destructive)

58 Total CD variation (linear sum in nm) ITRS 2003: 4.0 nm 3σ binary mask Binary Mask iso Pitch (nm) mean dose variation across wafer hot plate temperature variation across wafer variation of aberrations across field mask intrafield focus,dose 90 nm 65 Total CD variation (linear sum in nm) σ 1 = mask CD variation R 1 = Mask Error factor R 2 = Lens Error R 3 = Bake Temp CD/ T T R 4 = Focus and Dose Variation Alt. Phase alt PSMShift Mask iso Pitch (nm) ITRS 2003: 4.0 nm 3σ mean dose variation across wafer hot plate temperature variation across wafer variation of aberrations across field mask intrafield focus,dose Sergei Postnikov and Scott Hector: Motorola for Litho TWG STRJ WS: March 5, 2004, WG5 Lithography 58

59 STRJ WS: March 5, 2004, WG5 Lithography 59 3σ Litho/Etch 10% Litho 4/5 Etch 1/5 15% Litho 2/3 Etch 1/3 10% Litho 2/3 Etch 1/3 (

60 STRJ WS: March 5, 2004, WG5 Lithography 60 LER/LWR LER = 2LWR LER LER

61 STRJ WS: March 5, 2004, WG5 Lithography 61 Proposal (2): Re-definition of CD variation and CD measurement (supplement) Total CD variation Device variation σ = σ + σ + + σ total 2 litho 2 etch 2 inter Device degradation Accurate CD measurement CD variation (across wafer) must remove influence of LER on CD CD variation (across chip) Accurate LER measurement CD variation LWR in Gate (due to LER) Longperiod must include long period LER Shortperiod Measurements: 2 um, 200 points

62 DRAM IDM Integrated Device Manufacturer Fabless Foundry Logic Manufacturing friendly design Lithography friendly design Mask friendly design RET/OPC friendly design Process/aberration friendly design STRJ WS: March 5, 2004, WG5 Lithography 62

63 STRJ WS: March 5, 2004, WG5 Lithography 63 RET RET RET / RET RET Sliming process Alternating PSM Attenuating PSM (off-axis, large sigma) (small sigma) Off-axis illumination Narrowing process resist for gate/semi dense for dense/trench for cont/via

64 STRJ WS: March 5, 2004, WG5 Lithography 64 (One pitch, one direction) (X architecture ) on-grid wire >M2 all wire (poly ) >V1 all via (cont ) gate pitch= (or n x ) Forbidden pitch RET RET NA Robust RET Robust OPC RET RET

65 OPC STRJ WS: March 5, 2004, WG5 Lithography 65 Rule Base OPC Rule Output Original Model Base OPC Dissect Sim & Correct Output

66 OPC STRJ WS: March 5, 2004, WG5 Lithography 66 MEBES Format Data [GB] With Aggressive OPC With Nominal OPC

67 STRJ WS: March 5, 2004, WG5 Lithography 67 5 Writing Inspection through put (pcs/month) Process Pellicle Cost DR Equipment price um DR / Mask cost = umDR 0.25umDR 0.18umDR 0.13umDR umDR 0.25umDR 0.18umDR 0.13umDR

68 STRJ WS: March 5, 2004, WG5 Lithography 68 (Foundry (SPIE 75%

69 Table 79a Optical Mask Requirements STRJ WS: March 5, 2004, WG5 Lithography 69 Table 59a Optical Mask Requirements roduction gy Node hp90 hp65 ½Pitch (nm) IC ½ Pitch (nm) nted Gate Length (nm) ysical Gate Length (nm) nimum half pitch (nm) nimum line (nm, in resist) [A] nimum line (nm, post etch) nimum contact hole (nm, post etch) ation [B] minal image size (nm) [C] imum primary feature size [D] C feature size (nm) clear resolution feature size (nm) opaque [E] cement (nm, multi-point) [F] rmity allocation to mask (assumption) ated lines, binary [G] formity (nm, 3 sigma) isolated lines tes), binary mask [H] ated lines, alternating phase shift [G] formity (nm, 3 sigma) isolated lines tes), alternating phase shift mask [I] se lines [G] formity (nm, 3 sigma) dense lines (DRAM half pitch), binary or attenuated t mask [J] tacts [G] formity (nm, 3 sigma), contact/vias [K] (nm)[l] to target (nm) [M] e (nm) [N] * form factor ness (nm, peak-valley) [O] CD uniformity (nm, 3 sigma) isolated lines (MPU gates), binary mask [H] CD uniformity (nm, 3 sigma) isolated lines (MPU gates), alternating phase shift mask [I] CD uniformity (nm, 3 sigma), contact/vias [K] 2005 Mask minimum primary feature size [D] Defect size (nm) [N] * sion uniformity to mask nd clear feature) (±% 3 sigma)

70 STRJ WS: March 5, 2004, WG5 Lithography LER/LWR 2. 50nm

71 STRJ WS: March 5, 2004, WG5 Lithography 71

72 STRJ WS: March 5, 2004, WG5 Lithography 72 STRJ WG5 Lithography Requirements Update. CD Uniformity LER/LWR SEMI Standard Update. Potential Solution Update. Difficult Challenges Update. Litho Friendly Design APC Update. Update.

73 STRJ WS: March 5, 2004, WG5 Lithography 73 ArF 65nm 45nm NGL CD Uniformity APC

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

スライド 1

スライド 1 LER/LWR WG4 /WG5 /WG6(PIDS)/WG11 65nm WG11 1 LER/LWR 2004 UPDATE LER/LWR 2 1. LER/LWR 2. * * 3. 4. * Lithography/PIDS/Interconnect 5. 3 1. LER/LWR Line-Edge Roughness (LER) L y Line-Width Roughness (LWR)

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

Main-Title

Main-Title 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Re

Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Re Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Research Laboratory Osamu HIROSE Maya OZAKI This paper

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 CD X Axis [mm] Y Axis [mm] - - Magnification: 150k (0, -30mm) 26 nm 24 nm 1 26 nm 26 nm (30mm, 0) Center (0, -30mm) 48 nm (0, 30mm) 48 nm 48 nm 48 nm 48 nm E-beam resist Resist image Silicon mold Dose

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

ELECTRONIC IMAGING IN ASTRONOMY Detectors and Instrumentation 5 Instrumentation and detectors

ELECTRONIC IMAGING IN ASTRONOMY  Detectors and Instrumentation   5 Instrumentation and detectors ELECTRONIC IMAGING IN ASTRONOMY Detectors and Instrumentation 5 Instrumentation and detectors 4 2017/5/10 Contents 5.4 Interferometers 5.4.1 The Fourier Transform Spectrometer (FTS) 5.4.2 The Fabry-Perot

More information

Drift Chamber

Drift Chamber Quench Gas Drift Chamber 23 25 1 2 5 2.1 Drift Chamber.............................................. 5 2.2.............................................. 6 2.2.1..............................................

More information

Mott散乱によるParity対称性の破れを検証

Mott散乱によるParity対称性の破れを検証 Mott Parity P2 Mott target Mott Parity Parity Γ = 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 t P P ),,, ( 3 2 1 0 1 γ γ γ γ γ γ ν ν µ µ = = Γ 1 : : : Γ P P P P x x P ν ν µ µ vector axial vector ν ν µ µ γ γ Γ ν γ

More information

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd.

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd. LSM5Pascal Ver 3.2 GFP 4D Image VisArt 2004.03 LSM5PASCAL V3.2 LSM5PASCAL SW3.2Axiovert200M 1 1 2 3 3 4 4 5 SingleTrack 9 Multi Track 10,18 5 / 21 6 3 27 7 35 8 ( OFF) 40 LSM5PASCAL V3.2 LSM5PASCAL 65

More information

i ii iii iv v vi vii ( ー ー ) ( ) ( ) ( ) ( ) ー ( ) ( ) ー ー ( ) ( ) ( ) ( ) ( ) 13 202 24122783 3622316 (1) (2) (3) (4) 2483 (1) (2) (3) (4) (5) (6) (7) (8) (9) (10) (11) 11 11 2483 13

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

無電解めっきとレーザー照射による有機樹脂板上へのCuマイクロパターン形成

無電解めっきとレーザー照射による有機樹脂板上へのCuマイクロパターン形成 Title 無電解めっきとレーザー照射による有機樹脂板上への Cu マイクロパターン形成 Author(s) 菊地, 竜也 ; 和智, 悠太 ; 坂入, 正敏 ; 高橋, 英明 ; 飯野, 潔 ; 片山, 直樹 Citation 表面技術, 59(8): 555-561 Issue Date 2008-08 Doc URL http://hdl.handle.net/2115/36647 Type

More information

スペースプラズマ研究会-赤星.ppt

スペースプラズマ研究会-赤星.ppt 14 1 1 1 1 Pauline Faure 1 1 2 3 (1: 2: JAXA 3: IHI) IHI (C)(No.21560819) ISAS(JAXA) ISO TC20/SC14 / (Spall) 60~90% 2 (Cone) 1% (Jetting) CDV11227 Committee Draft for Comments CDV11227 Witness plate Sabot

More information

1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11

1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 4 2 5F

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

xx/xx Vol. Jxx A No. xx 1 Fig. 1 PAL(Panoramic Annular Lens) PAL(Panoramic Annular Lens) PAL (2) PAL PAL 2 PAL 3 2 PAL 1 PAL 3 PAL PAL 2. 1 PAL

xx/xx Vol. Jxx A No. xx 1 Fig. 1 PAL(Panoramic Annular Lens) PAL(Panoramic Annular Lens) PAL (2) PAL PAL 2 PAL 3 2 PAL 1 PAL 3 PAL PAL 2. 1 PAL PAL On the Precision of 3D Measurement by Stereo PAL Images Hiroyuki HASE,HirofumiKAWAI,FrankEKPAR, Masaaki YONEDA,andJien KATO PAL 3 PAL Panoramic Annular Lens 1985 Greguss PAL 1 PAL PAL 2 3 2 PAL DP

More information

プラズマ核融合学会誌11月【81‐11】/小特集5

プラズマ核融合学会誌11月【81‐11】/小特集5 Japan Atomic Energy Agency, Ibaraki 311-0193, Japan 1) Kyoto University, Uji 611-0011, Japan 2) National Institute of Advanced Industrial Science and Technology, Tsukuba 305-8569, Japan 3) Central Research

More information

平成18年版 男女共同参画白書

平成18年版 男女共同参画白書 i ii iii iv v vi vii viii ix 3 4 5 6 7 8 9 Column 10 11 12 13 14 15 Column 16 17 18 19 20 21 22 23 24 25 26 Column 27 28 29 30 Column 31 32 33 34 35 36 Column 37 Column 38 39 40 Column 41 42 43 44 45

More information

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth and Foot Breadth Akiko Yamamoto Fukuoka Women's University,

More information

HITACHI HF-2000

HITACHI HF-2000 HITACHI HF-2000 v. 4. 1 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. TV 15. 16. 17. 15 5-1 - 1. 1.1 COLUMN ON 1.2 OBJ. TEMP. MONITOR 20 1.3 POWER HV IP-1 ON ON LOCK (

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

Company_2801.ai

Company_2801.ai Park Systems www.parkafm.co.jp Park Systems Enabling Nanoscale Advances ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ Courtesy NASA/JPL-Caltech Park Systems Enabling Nanoscale Advances 5 98 988 997 Prof. C.F. Quate

More information

untitled

untitled 1 2 3 4 5 130mm 32mm UV-irradiation UV-cationic cure UV-cationic cure UV-cationic cure Thermal cationic Reaction heat cure Thermal cationic Cation Reaction heat cure Cation (a) UV-curing of

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

Donald Carl J. Choi, β ( )

Donald Carl J. Choi, β ( ) :: α β γ 200612296 20 10 17 1 3 2 α 3 2.1................................... 3 2.2................................... 4 2.3....................................... 6 2.4.......................................

More information

March 8, 2011 March 8,

March 8, 2011 March 8, March 8, 2011 March 8, 2011 2 March 8, 2011 3 March 8, 2011 4 4 5 March 8, 2011 March 8, 2011 2009 NCTC Report on Terrorism NCTC National Counterterrorism Center 6 2009 NCTC Report on Terrorism March 8,

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

untitled

untitled TEM with CCD Ver. 5.1 18 10 25 6 50 FILAMENT OFF 80 V () FILAMENT 2 25 FILAMENT OFF FILAMENT 1 min 2-5 L TEM(&CCD) FILAMENT ON CCD( ) - 1 - 3 TEM 3 CCD 3 4 5 6 7 (CCD ) 7 CCD 7 10 10 11 CCD &TEM 11-2 -

More information

380-厚板06

380-厚板06 Development of Ironmaking Technology Abstract The Japanese steel industry has a long history of introducing new and innovative technologies in the field of ironmaking. The new technologies introduced during

More information

第7章 WG5 リソグラフィ

第7章 WG5 リソグラフィ 第 6 章 WG5 リソグラフィ 6-1 はじめに 2008 年度 WG5( リソグラフィ WG) では ITRS2008update 版の作成に向けて 技術世代に対応した解決策候補 (Potential Solutions) の技術調査 各種テーブルの見直し クロスカット活動などを行うとともに 2009 版の作成指針を絞り込んだ Lithography itwg は SEMATECH から出ているリーダーの下

More information

研究成果報告書

研究成果報告書 10m 2m Ge Si BaF2 ZnSZnSe Sb-Ge-Sn-S IIR-SF1 1 2 Tungsten SilicideWSi WSi () IIR-SF 1 Sb-Ge-Sn-S 0.85~11μm2.710μm 253 C Al Al 220μm He-Cd laser 1 Exposure Photoresist WSi (a) 500 nm Development RIE WSi

More information

PALL NEWS vol.126 November 2017

PALL NEWS vol.126 November 2017 PALL NEWS November 2017 Vol.126 PALL NEWS vol.126 November 2017 NEW =2000 9660 41.4 MPa 24 MPa NFPA T2.06.01 R2-2001 CAT C/90/* (1x10 6 0-28 MPa 1x10 6 29 120 C 60 C 450 Pa 340 Pa 1 MPa JIS B 8356-3/ISO

More information

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射 1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射線技術科 緒言 3D PET/CT Fusion 1 liquid crystal display:

More information

特-4.indd

特-4.indd 1 000 Ni-Cr Tribological Characteristics of Ni-Cr Alloy at 1 000 C in Air R&D 1 000 Ni-Cr 1 000 Ni-Cr alloy sliding tests in atmosphere at 1 000 C were carried out and the process in which a glazed oxide

More information

Spacecraft Propulsion Using Solar Energy Spacecraft with Magnetic Field Light from the Sun Solar Wind Thrust Mirror Solar Sail Thrust production by li

Spacecraft Propulsion Using Solar Energy Spacecraft with Magnetic Field Light from the Sun Solar Wind Thrust Mirror Solar Sail Thrust production by li 2004.3.28 物理学会シンポジウム 磁気プラズマセイル の可能性と 深宇宙探査への挑戦 宇宙航空研究開発機構 船木一幸 Spacecraft Propulsion Using Solar Energy Spacecraft with Magnetic Field Light from the Sun Solar Wind Thrust Mirror Solar Sail Thrust production

More information

fj111_109

fj111_109 15 1 111 Super Low-Loss / Super High-Density Multi-fiber Optical Connector * * * *2 Katsuki Suematsu Masao Shinoda Takashi Shigenaga Jun Yamakawa *2 *3 *3 Masayoshi Tsukamoto Yoshimi Ono Takayuki Ando

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Parallel Computer Ships1 Makoto OYA*, Hiroto MATSUBARA**, Kazuyoshi SAKURAI** and Yu KATO**

More information

PowerPoint Presentation

PowerPoint Presentation 1 Litho 計測の現状と課題 ( 計測 WG) & 故障解析 SWG 活動状況報告 2 Litho 計測の現状と課題 ( 計測 WG) メンバー 河村栄一 ( 富士通マイクロエレクトロニクス ) 山崎裕一郎 ( 東芝 ) 上澤史且 (SONY) 清水澄人 ( パナソニック ) 横田和樹 (NECEL) 市川昌和 ( 東京大学 ) 水野文夫 ( 明星大学 ) 小島勇夫 ( 産総研 ) 池野昌彦 (

More information

スライド 1

スライド 1 STRJ WS: March 9, 2006, 0.35µm 0.8µm 0.3µm STRJ WS: March 9, 2006, 2 0.35µm Lot-to-Lot, Wafer-to-Wafer, Die-to-Die(D2D) D2D 0.8µm (WID: Within Die) D2D vs. WID 0.3µm D2Dvs. WID STRJ WS: March 9, 2006,

More information

2

2 1 2 3 4 5 6 7 8 9 10 I II III 11 IV 12 V 13 VI VII 14 VIII. 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 _ 33 _ 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 VII 51 52 53 54 55 56 57 58 59

More information

untitled

untitled i ii iii iv v 43 43 vi 43 vii T+1 T+2 1 viii 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 a) ( ) b) ( ) 51

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

Microsoft PowerPoint - 島田美帆.ppt

Microsoft PowerPoint - 島田美帆.ppt コンパクト ERL におけるバンチ圧縮の可能性に関して 分子科学研究所,UVSOR 島田美帆日本原子力研究開発機構,JAEA 羽島良一 Outline Beam dynamics studies for the 5 GeV ERL 規格化エミッタンス 0.1 mm mrad を維持する周回部の設計 Towards user experiment at the compact ERL Short bunch

More information

: , , % ,299 9, , % ,

: , , % ,299 9, , % , No. 22 March 2013 1. 1 2 3 4 2. 1 2 3. 1 2 2007 : 22 1980 51 1. 1 2008 : 170 4 5 2007 2007 2008 1 2008 6 2,592 205 2,900 0.33% 2009 7 6,299 9,300 238 2,600 0.31% 2010 254 1,700 2008 13.41 191.88 14 2010

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

untitled

untitled 98 17 (2005) 81 () () E-mail : uesugi@mx4.ttcn.ne.jp 1) 1 2 3 QE 4 LSI 5 6L 18 7 8 9 10 11 12 2) 13 14() 15 1617 18 AN SN 19. 2 20 21 22 () 3) 23 SN 24() - 2 25 26 27(1) 28 (2) 4) 29 30QE 31() 32 () 33

More information

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch 110 : 565-0871 2-1 567-0871 11-1 660-0811 1-9 - 1 tanigawa@jwri.osaka - u.ac.jp Influence of Laser Beam Profile on Cladding Layer TANIGAWA Daichi, ABE Nobuyuki, TSUKAMOTO Masahiro, HAYASHI Yoshihiko, YAMAZAKI

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 Vol. 41, 2009 Serving Advanced Technology Vol.41.2009...2...3...4...5...6...13...22...28...34...42...48, ü,,, ü, ü Example condition: 6kV, 120uA, 3hr Example Mehod: Back side Ar ion irradiation CP

More information

XL42 width.3 1.1 +/- 2 line/pad phase 2 4 lo-cut freq bell eq bell 1 2k freq 4 8k freq 1k 2k freq 2 4 1 2k 4 8k 1k 2k level pan line/pad phase lo-cut freq bell freq eq freq freq bell level pan PAD +48

More information

i

i 14 i ii iii iv v vi 14 13 86 13 12 28 14 16 14 15 31 (1) 13 12 28 20 (2) (3) 2 (4) (5) 14 14 50 48 3 11 11 22 14 15 10 14 20 21 20 (1) 14 (2) 14 4 (3) (4) (5) 12 12 (6) 14 15 5 6 7 8 9 10 7

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205

2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205 252 5210 3 1 1 e-mail: morita.yasuhiro@jaxa.jp 9 1. 1 F1 1 204 2015 4 2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205 IT IT 3 2 JAXA 2 3 1 2 2 2 3 2 206 2015 4 C/C 2 3. 3.1 1 SRB-A H2A

More information

TSP-MPS-OM.book

TSP-MPS-OM.book 取扱説明書 Transpector MPS Transpector MPH www.inficon.com 2014 INFICON reachus@inficon.com INFICON Inc. Two Technology Place East Syracuse, NY 13057 USA PN 074-603-P1A 1-1 PN 074-603-P1A 1-2 PN 074-603-P1A

More information

SFN

SFN THE STAR FORMATION NEWSLETTER No.291-14 March 2017 2017/04/28 16-20 16. X-Shooter spectroscopy of young stellar objects in Lupus. Atmospheric parameters, membership and activity diagnostics 17. The evolution

More information

(a) -4furne.ce Fig. I Schematic drawing of cooling chamber Fig. 2 Priventive gas velocity at nozzle 405

(a) -4furne.ce Fig. I Schematic drawing of cooling chamber Fig. 2 Priventive gas velocity at nozzle 405 Fig. 1 Experimental Apparatus Fig. 2 Typical Ion Distribution in COG-Air Flame Fig. 3 Relation between Steel Temperature and Reduction Time (a) -4furne.ce Fig. I Schematic drawing of cooling chamber Fig.

More information

PowerPoint Presentation

PowerPoint Presentation 2010 KEK (Japan) (Japan) (Japan) Cheoun, Myun -ki Soongsil (Korea) Ryu,, Chung-Yoe Soongsil (Korea) 1. S.Reddy, M.Prakash and J.M. Lattimer, P.R.D58 #013009 (1998) Magnetar : ~ 10 15 G ~ 10 17 19 G (?)

More information

Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake

Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake supplied to the engine, and as such are critical elements

More information

HREM Manual36JFAQ

HREM Manual36JFAQ xhrem TM (WinHREM TM /MacHREM TM ) V3.6 !!!!! xhrem Userʼs Guide 2 !!!!!!!!!! Support/Update Email: support@hremresearch.com WEB: www.hremresearch.com xhrem Userʼs Guide 3 ! xhrem Userʼs Guide 4 " " "

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

高周波同軸コネクタ

高周波同軸コネクタ RF circuit ANT Probe Signal in Signal out Probe Signal out Signal in RF circuit ANT Probe Probe Signal in Signal out Signal out Signal in RF ANT. RF ANT. Probe Probe Signal out Signal out Signal in Signal

More information

三菱光デバイス

三菱光デバイス 0.9 0.8 0.7 0.6 500 0.5 y 0.4 0.3 490 0.2 0.1 0.0 0.0 520 480 540 srgb CMY D65 Laser Display 560 580 600 620 700 470 460 380 0.1 0.2 0.3 0.4 x 0.5 0.6 0.7 0.8 RED [638nm] (Display System etc.) ML562G84

More information

MLA8取扱説明書

MLA8取扱説明書 (5)-2 2 (5)-2 3 (5)-2 4 5 2 3 4 5 6 7 1 2 3 4 5 6 7 8 POWER ON / OFF 1 1 n 2 3 4 5 6 7 n 6 AC IN 8 MODEL MAL8 MADE IN INDONESIA 7 6 5 4 OUTPUT +4dBu ANALOG OUTPUT +4dBu G G 3 2 1 8 7 6 5 INPUT 4 3 2 1

More information

Copyright 2004 TOSHIBA CORPORATION./TOSHIBA SIGMA CONSULTING All rights reserved. 1 VOC Copyright 2004 TOSHIBA CORPORATION./TOSHIBA SIGMA CONSULTING A

Copyright 2004 TOSHIBA CORPORATION./TOSHIBA SIGMA CONSULTING All rights reserved. 1 VOC Copyright 2004 TOSHIBA CORPORATION./TOSHIBA SIGMA CONSULTING A 1 VOC 2 3 VOC QFD 4 Technique to translate the requirements in the customer domain into the designs and actions in the engineering domains. Engineering Metrics Portable Equipment (Digital Camera, Laptop,

More information