fft 高速フーリエ変換 Data Sheet

Size: px
Start display at page:

Download "fft 高速フーリエ変換 Data Sheet"

Transcription

1 fft 997 ver.3 Data Sheet fftfftmegacore FLEX K twiddle Deciatio i Frequecy FFT twiddle MegaCore fft DSP MegaCore fft fft data_left_i_re[] data_left_i_i[] we_left add_left[] clock start_fft twiddle_re[] twiddle_i[] add_twiddle[] FFT data_right_i_re[] data_right_i_i[] we_right add_right[] doe data_directio expoet[] data_out_re[] data_out_i[] PIPE_DATA= PIPE_TWIDDLE= WIDTH_ADD= WIDTH_DATA= WIDTH_EXPONENT= WIDTH_TWIDDLE= twiddle Altera Corporatio Page A-DS-FFT-3/J L-5783-/J

2 fft Fast Fourier Trasfor Data Sheet FLEX K EAB RAM AHDL Fuctio Prototype fftahdlfuctio Prototype FUNCTION fft (clock, start_fft, data_left_i_re[width_data-..], data_left_i_i[width_data-..], data_right_i_re[width_data-..], data_right_i_i[width_data-..], twiddle_re[width_twiddle-..], twiddle_i[width_twiddle-..]) WITH (WIDTH_DATA, WIDTH_TWIDDLE, PIPE_DATA, PIPE_TWIDDLE, WIDTH_EXPONENT, FFT_DIRECTION, WIDTH_ADD, EXPONENT_INITIAL_VALUE) RETURNS (doe, data_directio, we_left, add_left[width_add-..], we_right, add_right[width_add-..], add_twiddle[width_add-2..], data_out_re[width_data-..], data_out_i[width_data-..], expoet[width_exponent-..]); VHDL Copoet Declaratio fftvhdl Copoet Declaratio COMPONENT fft GENERIC( WIDTH_DATA : POSITIVE; WIDTH_TWIDDLE : POSITIVE; PIPE_DATA : INTEGER; PIPE_TWIDDLE : INTEGER; WIDTH_EXPONENT : POSITIVE; WIDTH_ADD : POSITIVE; EXPONENT_INITIAL_VALUE : INTEGER); PORT( clock : IN STD_LOGIC := ''; start_fft : IN STD_LOGIC; data_left_i_re, data_left_i_i, data_right_i_re, data_right_i_i : IN STD_LOGIC_VECTOR(WIDTH_DATA- DOWNTO ); twiddle_re, twiddle_i : IN STD_LOGIC_VECTOR(WIDTH_TWIDDLE- DOWNTO ); Page 2 Altera Corporatio

3 fft Fast Fourier Trasfor Data Sheet doe, data_directio, we_left : OUT STD_LOGIC; add_left : OUT STD_LOGIC_VECTOR(WIDTH_ADD- DOWNTO ); we_right : OUT STD_LOGIC; add_right : OUT STD_LOGIC_VECTOR(WIDTH_ADD- DOWNTO ); add_twiddle : OUT STD_LOGIC_VECTOR(WIDTH_ADD-2 DOWNTO ); data_out_re, data_out_i : OUT STD_LOGIC_VECTOR(WIDTH_DATA- DOWNTO ); expoet : OUT_STD_LOGIC_VECTOR(WIDTH_EXPONENT- DOWNTO )); END COMPONENT; fft fft clock start_fft fft data_left_i_re[] fft data_left_i_i[] fft data_right_i_re[] fft data_right_i_i[] fft twiddle_re[] fft twiddle_i[] fft doe fft data_directio fft we_left we_right add_left[] add_right[] add_twiddle[] data_out_re[] fft data_out_i[] fft expoet[] doe Altera Corporatio Page 3

4 fft Fast Fourier Trasfor Data Sheet fft fft PIPE_DTA add_left[] add_right[] data_left_i_re [] data_left_i_i[] data_right_i_re[] data_right_i_i[] PIPE_TWIDDLE add_twiddle[] twiddle_re[] twiddle_i[] WIDTH_ADD fft 2 WIDTH_ADD WIDTH_DATA WIDTH_EXPONENT WIDTH_TWIDDLE fft Deciatio I Frequecy DIF FFT fft I/O I/O DIF DIF FFT x[] X[] x[] X[] = x[] X[] x[] X[] W k N X[] = x[] + x[] X[] = W k N (x[] x[]) k W N = Twiddle = e j 2πk/N = cos(2πk/n) jsi(2πk/n) k = to (N/2 ) N = j = W k N Page 4 Altera Corporatio

5 fft Fast Fourier Trasfor Data Sheet DIF FFT fft DIF FFT Pass Pass 2 Pass 3 x[] x[] W 8 W 8 W 8 X[] X[] x[] x[] W 8 W 8 W 8 X[] X[] 2 3 x[] x[] W 2 8 W 2 8 W 8 X[] X[] 4 5 x[] x[] W 3 8 W 2 8 W 8 X[] X[] 6 7 Right Meory Left Meory Right Meory Left Meory Address fft_o_chipi/o fft_o_chip fft Pass-fft Pass-2 fft DIF fft Altera Corporatio Page 5

6 fft Fast Fourier Trasfor Data Sheet fft fft =32 fft 2 4 =6 2 6 =64fft data_directiofft fft W WN k ( e j 2π k )/ = N = cos ( 2πk/ N) jsi( 2πk/ N) N = fft = WIDTH_ADD k = to (N/2 ) j = cos(2πk/n) si(2πk/n) MegaCore fft twiddleeab.if MIF ROM UNIX DOStwiddle fft WIDTH_DATA.5. B.5. B. WIDTHTWIDDLE WIDTHTWIDDLE- = = Page 6 Altera Corporatio

7 fft Fast Fourier Trasfor Data Sheet.5. B.5. B W N.+j WN W N /4 FFT fft FFT I/O I/O FLEX K FLEX K EPFK EPFK5 EPFK EPFK5 Poits EABs Poits EABs Poits EABs EPFK , to , to 6 9 to , > 6 > 6 EPFK , to , to 6 9 to 6, > 6 > 6 () RAM (2) RAM Altera Corporatio Page 7

8 fft Fast Fourier Trasfor Data Sheet RAM fft_o_chip fft_o_chip ROM PIPE_TWIDDLE RAM PIPE_DATA fft I/O I/O RAM RAM RAM RAM fft_o_chip FLEX K EAB fft fft Page 8 Altera Corporatio

9 fft Fast Fourier Trasfor Data Sheet load_bak_eable load_bak_reset e Load Couter sclr q uload_bak_eable uload_bak_reset e Uload Couter sclr q load_ru data_out_re[] data_out_i[] Left Meory start_fft q[] we add[] d data_left_i[] we_left add_left[] start_fft fft data_right_i[] we_right add_right[] q[] we add[] d Right Meory Twiddle Meory q add r - twiddle_i[] add_twiddle[] doe expoet[] data_out[] p doe expoet[] data_i = 2 WIDTH_DATA = WIDTH_ADD p = WIDTH_EXPONENT r = WIDTH_TWIDDLE I/O fftfft fft I/O EAB PIPE_DATA Altera Corporatio Page 9

10 fft Fast Fourier Trasfor Data Sheet fft I/O doe I/O fft PIPE_TWIDDLE load_ru N+ load_bak_eable N data_i load_ru fft start_fft fft fft doe fft fft FFTfft FFT FFT fft fft I/O Page Altera Corporatio

11 fft Fast Fourier Trasfor Data Sheet load_bak_eable data_i left_address right_address data_i load_bak_eable load_bak_reset e Load Couter sclr q uload_bak_eable uload_bak_reset e Uload Couter sclr q load_ru data_out_re[] data_out_i[] start_fft Left q[] Meory we add[] Twiddle Meory d add[] q[] r data_left_i[] we_left add_left[] start_fft twiddle_i[] add_twiddle[] fft data_right_i[] we_right add_right[] doe expoet[] data_out[] p q[] Right we Meory add[] d doe expoet[] data_i = WIDTH_ADD = 2 WIDTH_DATA p = WIDTH_EXPONENT r = WIDTH_TWIDDLE Altera Corporatio Page

12 fft Fast Fourier Trasfor Data Sheet RAM fft 256 RAM SSRAM fft FFT SSRAM RAM RAM RAM RAM data_i data_out FLEX K fft RAM fft fft fft fft start_fft- - fft-- -- AItera Corporatio Iovatio Drive, Sa Jose, CA 9534 TEL : (48)

AN 74: アルテラ・デバイスの消費電力評価方法

AN 74: アルテラ・デバイスの消費電力評価方法 AN 74: Evaluating Power for Altera Devices 1998 1 ver.2 Application Note 74 P EST = P INT + P IO P INT = I CCINT V CCINT P IO = P ACOUT + P DCOUT P EST = (I CCINT V CCINT ) + (P ACOUT + P DCOUT ) Altera

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

102

102 5 102 5 103 q w 104 e r t y 5 u 105 q w e r t y u i 106 o!0 io!1 io q w e r t y 5 u 107 i o 108 q w e q w e r 5 109 q w 110 e r t 5 y 111 q w e r t y u 112 i q w e r 5 113 q w e 114 r t 5 115 q w e 116

More information

(5 B m e i 2π T mt m m B m e i 2π T mt m m B m e i 2π T mt B m (m < 0 C m m (6 (7 (5 g(t C 0 + m C m e i 2π T mt (7 C m e i 2π T mt + m m C m e i 2π T

(5 B m e i 2π T mt m m B m e i 2π T mt m m B m e i 2π T mt B m (m < 0 C m m (6 (7 (5 g(t C 0 + m C m e i 2π T mt (7 C m e i 2π T mt + m m C m e i 2π T 2.6 FFT(Fast Fourier Transform 2.6. T g(t g(t 2 a 0 + { a m b m 2 T T 0 2 T T 0 (a m cos( 2π T mt + b m sin( 2π mt ( T m 2π g(t cos( T mtdt m 0,, 2,... 2π g(t sin( T mtdt m, 2, 3... (2 g(t T 0 < t < T

More information

ビットリアカップ2007けいはんなサイクルレースリザルト

ビットリアカップ2007けいはんなサイクルレースリザルト Page 4/30 Page 5/30 Page 6/30 Page 7/30 Page 8/30 Page 9/30 Page 10/30 Page 11/30 Page 12/30 Page 13/30 Page 14/30 Page 15/30 Page 16/30 Page 17/30 Page 18/30 Page 19/30 Page 20/30 Page 21/30 Page 22/30

More information

Page 1

Page 1 Page 1 Page 2 Page 3 Page 4 620 628 579-41 -6.7-49 -7.9 71 41 47-24 -33.3 6 15.9 11.5 6.5 8.1 36 15 22-14 -38.9 7 43.4 Page 5 277 302 23 24 12/3Q 12/4Q 13/1Q 13/2Q 13/3Q 13/4Q 14/1Q 14/2Q 14/3Q 14/4Q 15/1Q

More information

80

80 5 80 q w 5 81 q w e r t 82 q w e 5 r 83 q w e r 84 85 5 q w e r t y u i 86 q w we q w 5 e 87 r t y q q w 88 e r 5 t 89 q w e 90 r t q w e r 5 91 q w e r 92 t y u q 5 w 93 e q w e 94 5 95 96 q w e r t 5

More information

Netcommunity SYSTEM X7000 IPコードレス電話機 取扱説明書

Netcommunity SYSTEM X7000 IPコードレス電話機 取扱説明書 4 5 6 7 8 9 . 4 DS 0 4 5 4 4 4 5 5 6 7 8 9 0 4 5 6 7 8 9 4 5 6 4 0 4 4 4 4 5 6 7 8 9 40 4 4 4 4 44 45 4 6 7 5 46 47 4 5 6 48 49 50 5 4 5 4 5 6 5 5 6 4 54 4 5 6 7 55 5 6 4 56 4 5 6 57 4 5 6 7 58 4

More information

.A. D.S

.A. D.S 1999-1- .A. D.S 1996 2001 1999-2- -3- 1 p.16 17 18 19 2-4- 1-5- 1~2 1~2 2 5 1 34 2 10 3 2.6 2.85 3.05 2.9 2.9 3.16 4 7 9 9 17 9 25 10 3 10 8 10 17 10 18 10 22 11 29-6- 1 p.1-7- p.5-8- p.9 10 12 13-9- 2

More information

main.dvi

main.dvi 4 DFT DFT Fast Fourier Transform: FFT 4.1 DFT IDFT X(k) = 1 n=0 x(n)e j2πkn (4.1) 1 x(n) = 1 X(k)e j2πkn (4.2) k=0 x(n) X(k) DFT 2 ( 1) 2 4 2 2(2 1) 2 O( 2 ) 4.2 FFT 4.2.1 radix2 FFT 1 (4.1) 86 4. X(0)

More information

平成27年度三菱重工グループ保険 フルガードくん(シニア)

平成27年度三菱重工グループ保険 フルガードくん(シニア) TEL 0120-004-443 TEL 045-200-6560 TEL 042-761-2328 TEL 0120-539-022 TEL 042-762-0535 TEL 052-565-5211 TEL 077-552-9161 TEL 0120-430-372 TEL 0120-45-9898 TEL 0120-63-0051 TEL 0120-252-892 TEL 083-266-8041

More information

( ) ver.2015_01 2

( ) ver.2015_01 2 1 1.1 1.2 1.3 2 ( ) 2.1 2.2 2.3 2.4 3 4 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9 5 5.1 5.2 5.3 5.4 6 6.1 6.2 6.3 7 7.1 7.2 7.3 8 ver.2015_01 2 1 1.1 1.2 1.3 ver.2015_01 3 2 2.1 2.2 2.3 ver.2015_01 4 2.4 ver.2015_01

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2 FFT 1 Fourier fast Fourier transform FFT FFT FFT 1 FFT FFT 2 Fourier 2.1 Fourier FFT Fourier discrete Fourier transform DFT DFT n 1 y k = j=0 x j ω jk n, 0 k n 1 (1) x j y k ω n = e 2πi/n i = 1 (1) n DFT

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

main.dvi

main.dvi 6 FIR FIR FIR FIR 6.1 FIR 6.1.1 H(e jω ) H(e jω )= H(e jω ) e jθ(ω) = H(e jω ) (cos θ(ω)+jsin θ(ω)) (6.1) H(e jω ) θ(ω) θ(ω) = KωT, K > 0 (6.2) 6.1.2 6.1 6.1 FIR 123 6.1 H(e jω 1, ω

More information

c3 FAST RETAILING ANNUAL REPORT 2006

c3 FAST RETAILING ANNUAL REPORT 2006 c3 FAST RETAILING ANNUAL REPORT 2006 FAST RETAILING ANNUAL REPORT 2006 c4 2 FAST RETAILING ANNUAL REPORT 2006 FAST RETAILING ANNUAL REPORT 2006 3 4 FAST RETAILING ANNUAL REPORT 2006 FAST RETAILING ANNUAL

More information

Gmech08.dvi

Gmech08.dvi 51 5 5.1 5.1.1 P r P z θ P P P z e r e, z ) r, θ, ) 5.1 z r e θ,, z r, θ, = r sin θ cos = r sin θ sin 5.1) e θ e z = r cos θ r, θ, 5.1: 0 r

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

橡ソート手順比較

橡ソート手順比較 PAGE:1 [Page] 20 1 20 20 QuickSort 21 QuickSort 21 21 22 QuickSort 22 QuickSort 22 23 0 23 QuickSort 23 QuickSort 24 Order 25 25 26 26 7 26 QuickSort 27 PAGE:2 PAGE:3 program sort; { { type item = record

More information

推奨されるHDLコーディング構文

推奨されるHDLコーディング構文 6. HDL QII51007-6.0.0 HDL HDL HDL HDL HDL Quartus II Volume 1 Design Recommendations for Altera Devices Quartus II EDA HDL Quartus II Volume 1 Altera Corporation 6 1 Quartus II Volume 1 LPM DSP LVDS PLL

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

Feedhone (Spectroeter) 1 Voltage (Analog) A/D Voltage (Digital) 1. FFT [8] 3GPP OFDM 8 CT OH SETI 7 Spectroeter.,. 1.,, A/D., (FFT),., (M

Feedhone (Spectroeter) 1 Voltage (Analog) A/D Voltage (Digital) 1. FFT [8] 3GPP OFDM 8 CT OH SETI 7 Spectroeter.,. 1.,, A/D., (FFT),., (M RC-5 THE ISTITUTE OF ELECTROICS, IFORMATIO AD COMMUICATIO EGIEERS TECHICAL REPORT OF IEICE. k FFT 89 65 1 1 4 89 65 1 1 4 8 85 68 4 (spectroeter),. k FFT. FFT,.,, k FFT. 4 Altera FPGA, 7 FFT.48., 7 FFT,

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

橡挿入法の実践

橡挿入法の実践 PAGE:1 7JFC1121 PAGE:2 7JFC1121 PAGE:3 7JFC1121 Kadai_1.pas program input_file;{7jfc1121 19 20 { type item = record id : integer; math : integer; english : integer; var wfile data flag id_no filename :

More information

song_filer_ja_om_v103a.pdf

song_filer_ja_om_v103a.pdf 2001 Yamaha Corporatio. All rights reserved. 1 MIDI OUT MIDI IN MIDI OUT MIDI IN abcd.mid abcd.mid efgh.mid 2 1 2 3 1 2 3 3 4 MIDI OUT MIDI IN 5 1 2 1 2 4 5 6 7 8 3 5 7 8 4 9 ) 3! @ 1 2 3 4 5 6 7 8 9 )!

More information

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM 2014/4/22 1 1.1 SRAM SRAM 1 128K 1M 128K 8 17 8 SRAM CS 1 OE 2 WE 3 CS OE WE V CC V SS 1: SRAM SRAM 2 2 (a) t ACC t RC 1 2 (b) t CSW CS 1 chip select 2 output enable 3 write enable 1 c 2014 2 t WC 1 2:

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

431 a s a s a s d a s a 10 d s 11 f a 12 g s 13 a 14 a 15

431 a s a s a s d a s a 10 d s 11 f a 12 g s 13 a 14 a 15 431 a s a s a s d a sa 10ds 11fa 12gs 13a 14a 15 a s d f g h a s d 10f 11g a 12h s 13j a 14k s 15 432 433 10 11 12 13 14 15 10 11 12 13 14 15 434 10 11 12 13 14 15 10 11 12 13 14 15 10 11 12 13 14 15 435

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

(1) (2) (3) (1) (2) (3) (1) (2) (3) (4) (1) (2)

(1) (2) (3) (1) (2) (3) (1) (2) (3) (4) (1) (2) (1) (2) (3) (1) (2) (3) (1) (2) (3) (4) (1) (2) (1) (2) (3) (1) (2) (3) (1) (2) (3) vs. (2) (1) 16 22 143,977 24,030 55,769 600 (2) (3) (4) (1) (2) (1) (2) 2 (3) (abuse) (1) (2) (3) (1) (2) (3)

More information

数値計算:フーリエ変換

数値計算:フーリエ変換 ( ) 1 / 72 1 8 2 3 4 ( ) 2 / 72 ( ) 3 / 72 ( ) 4 / 72 ( ) 5 / 72 sample.m Fs = 1000; T = 1/Fs; L = 1000; t = (0:L-1)*T; % Sampling frequency % Sample time % Length of signal % Time vector y=1+0.7*sin(2*pi*50*t)+sin(2*pi*120*t)+2*randn(size(t));

More information

ρ ( ) sgv + ρwgv γ sv + γ wv γ s + γ w e e γ ρ g s s γ s ( ) + γ w( ) Vs + V Vs + V + e + e + e γ γ sa γ e e n( ) + e γ γ s ( n) + γ wn γ s, γ w γ γ +

ρ ( ) sgv + ρwgv γ sv + γ wv γ s + γ w e e γ ρ g s s γ s ( ) + γ w( ) Vs + V Vs + V + e + e + e γ γ sa γ e e n( ) + e γ γ s ( n) + γ wn γ s, γ w γ γ + σ P σ () n σ () n σ P ) σ ( σ P σ σ σ + u V e m w ρ w gv V V s m s ρ s gv s ρ ( ) sgv + ρwgv γ sv + γ wv γ s + γ w e e γ ρ g s s γ s ( ) + γ w( ) Vs + V Vs + V + e + e + e γ γ sa γ e e n( ) + e γ γ s (

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

datB_prin00.doc

datB_prin00.doc (PCA: Pricipal Copoet Aalysis Ver.4. 0.70.3 weight 0.5 0.5 (Pricipal Copoet Aalysis.. "datb_jigyosho.txt'". (00 datb_jigyosho.txt 5 6 5 6 0,000 x-x6..6 x x x3 x4 x5 x6 SAS. ( 6 x x x x z z x x z l x +

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

2

2 16 1050026 1050042 1 2 1 1.1 3 1.2 3 1.3 3 2 2.1 4 2.2 4 2.2.1 5 2.2.2 5 2.3 7 2.3.1 1Basic 7 2.3.2 2 8 2.3.3 3 9 2.3.4 4window size 10 2.3.5 5 11 3 3.1 12 3.2 CCF 1 13 3.3 14 3.4 2 15 3.5 3 17 20 20 20

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

u!4 i!5 o!0!1!2!3

u!4 i!5 o!0!1!2!3 q r w t e y u!4 i!5 o!0!1!2!3 q w e r t y u i q w e r q w e r t y u i o!0!1!2!3!4!5 q w e r t y u i o!0!1!2!3!4!5 q w e r t y u i o!0!1!2!3!4!5 q w e r t y u i o!0!1!2!3!4!5!6 q w e r t y u i o!0!1!2!3!4!5!6

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Microsoft PowerPoint - vp演習課題

Microsoft PowerPoint - vp演習課題 演習課題 (1) 27 Nov., '18 katakan2hiragana.xlsm は, 下図のように 4~8 行目の B 列に漢字で表記した氏名,C 列にカタカナで表記したヨミガナ,D 列にひらがなで表記したよみがなを表示させることを意図している. このシートは, セル範囲 "B4:B8"( 図の赤枠内 ) に, キーボードから漢字で氏名を入力すると C 列にカタカナのヨミガナが自動的に表示されるようになっている.

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

. (.8.). t + t m ü(t + t) + c u(t + t) + k u(t + t) = f(t + t) () m ü f. () c u k u t + t u Taylor t 3 u(t + t) = u(t) + t! u(t) + ( t)! = u(t) + t u(

. (.8.). t + t m ü(t + t) + c u(t + t) + k u(t + t) = f(t + t) () m ü f. () c u k u t + t u Taylor t 3 u(t + t) = u(t) + t! u(t) + ( t)! = u(t) + t u( 3 8. (.8.)............................................................................................3.............................................4 Nermark β..........................................

More information

impulse_response.dvi

impulse_response.dvi 5 Time Time Level Level Frequency Frequency Fig. 5.1: [1] 2004. [2] P. A. Nelson, S. J. Elliott, Active Noise Control, Academic Press, 1992. [3] M. R. Schroeder, Integrated-impulse method measuring sound

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

MT-17J-003-a 表紙

MT-17J-003-a 表紙 MT-21J-003-B STP-301/451 3 -b 2002.3 Copyright 2002 Seiko Instruments Inc. All rights reserved. Printed in Japan. STP-301/451 STP STP, 1 STP-301/451 STP (MSDS Material Safety Data Sheet) ( ) MSDS STP 2

More information

VBI VBI FM FM FM FM FM DARC DARC

VBI VBI FM FM FM FM FM DARC DARC 14 2 7 2.1 2.1.1 2.1.2 2.1.3 2.1.3.1 VBI 2.1.3.2 VBI 2.1.4 2.1.5 2.1.6 10 2.FM 11 2.2.1 FM 11 2.2.2 FM 11 2.2.3FM 13 2.2.4 FM DARC 14 2.2.4.1 DARC 14 2.2.4.2 DARC 14 17 3.1 17 3.1.1 parity 17 3.1.2 18

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

PeakVHDL Max+Plus VGA VG

PeakVHDL Max+Plus VGA VG 2001 PC 9720002 14 2 7 4 1 5 1.1... 5 1.2... 5 1.3... 6 1.4... 6 2 7 2.1... 7 2.2... 8 2.2.1... 8 2.3... 9 2.3.1 PeakVHDL... 9 2.3.2 Max+Plus2... 9 3 VGA 10 3.1... 10 3.2 VGA... 10 3.3 VGA... 11 3.4 VGA...

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

N&VP.1-19PDF用

N&VP.1-19PDF用 100% 80% 60% 40% 20% 0% 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2.5 V 3.3 V A-NV-Q198-01/J Altera, ASEND, APEX, AMPP, BitBlaster, ByteBlaster, lassic, FastTrack Interconnect, FLEX, FLEX 10K,

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

matlab-2005.dvi

matlab-2005.dvi I: MATLAB 2005 MATLAB 1. MATLAB 2. MATLAB 3. MATLAB http://winnie.kuis.kyoto-u.ac.jp/ kitahara/local/matlab/ 1 MATLAB 1.1 MATLAB MATLAB 1 FFT 1.2 MATLAB MATLAB PC Windows URL MATLAB Windows kitahara@kuis.kyoto-u.ac.jp

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

卒 業 研 究 報 告

卒 業 研 究 報 告 VHDL 1040183 16 2 17 1 1 2 2 2 2 2 1 2 2 2 3 7 3 18 19 20 22 23 25 4 VHDL 27 27 8 BCD 2 27 28 REG_B 29 29 STATE 29 31 VHDL 5 VHDL 1 CPU Hardware Description Language : HDL VHDL VHSIC HDL 1 2 3 VHDL 4 3

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

No Slide Title

No Slide Title 1 2 3 4 5 6 7 8 9 60 50 40 30 20 10 0 10 Computer 4-Port Hub PoE Clock PDA or Tablet VoIP Phone Wireless Access Point IP Security Camera Laptop Watts Power Consumption (W atts) Range of Operation 11 Switching

More information

Sample function Re random process Flutter, Galloping, etc. ensemble (mean value) N 1 µ = lim xk( t1) N k = 1 N autocorrelation function N 1 R( t1, t1

Sample function Re random process Flutter, Galloping, etc. ensemble (mean value) N 1 µ = lim xk( t1) N k = 1 N autocorrelation function N 1 R( t1, t1 Sample function Re random process Flutter, Galloping, etc. ensemble (mean value) µ = lim xk( k = autocorrelation function R( t, t + τ) = lim ( ) ( + τ) xk t xk t k = V p o o R p o, o V S M R realization

More information

18/02/18 14:39 PAGE : : : : : : : : :

18/02/18 14:39 PAGE : : : : : : : : : 18/02/18 14:39 PAGE-1 1 84 3:37.84 2 79 3:43.24 3 83 3:45.45 4 51 3:45.52 5 69 3:50.18 6 85 3:50.88 7 68 3:54.57 8 67 3:56.56 9 73 4:00.78 10 38 4:00.97 11 82 4:01.65 12 32 4:04.21 13 80 4:04.89 14 29

More information

VHDL

VHDL VHDL 4 4 3 3 6 6 6 9 4 8 5 9 5 5 6 9 3 3 3 35 36 37 38 FIRIIR A/D D/A NOSCOS LSI FIR IIR x a x a a ; ; H a H T j e T j e T j T a j T a T j T a e a H e H T j sin cos sin cos T j I T j R T a e H T a e H

More information

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド RAM?????????????ALTSHIFT_TAPS????????????????? 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01009-2.1 Subscribe 2010 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information