統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

Size: px
Start display at page:

Download "統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤"

Transcription

1 統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤り 悪意のある攻撃など ) に対して 統一的な視点からディジタルLSIシステムのディペンダビリティを確保するための設計技術の確立を目指す ディペンダビリティの解析と対策回路の合成を行うEA ツールを核とした ディペンダブルLSI 向け設計フローを構築する 具体的な問題から 一般化 ツール構築 フロー構築へ展開する 2

2 アプローチ ) 具体的な事例を対象とする (a) 中性子線等に起因するソフトエラー (b) 素子の製造ばらつきや経年劣化によるタイミングエラー (c) 悪意ある攻撃による回路内の機密データの漏洩 2) 階層設計の物理現象からシステムまでの因果関係を明確化し抽象化する ( ア ) 階層設計の各レベルにおけるディペンダビリティの評価指標の定義 ( イ ) 各レベルの抽象度でディペンダビリティを効率良くかつ正確に見積もる技術 ( ウ ) 各レベルにおけるディペンダビリティの向上技術とそれを大規模な設計中に組み込む技術 ( エ ) コストや性能 消費電力等の制約要件とのトレードオフを考慮し 設計全体を最適化する技術 ( オ ) 個々のツールを統合した設計フローの構築 3) 一般的なディペンダビリティの評価指標の定義 それを設計の各段階で見積もる技術 ディペンダビリティの向上技術と設計中に組み込む技術 設計を最適化する技術の開発 統合した設計フローの構築 3 ツールチェインの構築 アーキテクチャ設計 解析ツール システムレベルシミュレータ 改善ツール 見積もり値の上界保障 RTL 設計 Cace シミュレータ RTL 解析ツール 論理設計 タイミングシミュレータ 論理合成最適化ツール 回路設計 吉本 T メモリセルの評価 論理セル解析ツール 安浦 T 吉本 T 共同研究 デバイスシミュレータ 日立 横浜研究所 ( 前生産技術研究所 ) レイアウト設計 原子レベル デバイス構造モデル SRIM PHITS ( 日本原子力研究開発機構 ) Faeea JAXA 九大総理工渡辺先生 2 4

3 上界を保証するツールチェイン ソフトエラー起因のシステムが falure となる確率の上界は中性子がシリコン原子に衝突する確率である 各階層の maskn facor の上界の積がシステムが falure となる確率の上界となる 各階層における maskn facor の上界を求める 例 : 論理設計 : Elecrcal maskn Lac-wndow maskn Local maskn 5 論理設計での上界の見積もり 2 つの maskn facor の上界を個々に求める Elecrcal maskn と Lac-wndow maskn によるmaskn facor local masknによるmaskn facor 2 つの maskn facor の上界の積により 論理設計における maskn facor の上界を求める 3 6

4 Loc 論理レベルのソフトエラー耐性評価 ナイーブなツールチェイン ネットリスト セルレベルのソフトエラー故障モデル SEU ( 箇所 ) 故障 (SEU or SET) のサンプリング SET( 幅, 箇所 ) タイミングを考慮した組合せ回路の故障シミュレーション FFの反転情報 順序回路の故障シミュレーション 提案手法 全パタンを同時に考慮したタイミング故障シミュレーション 全セルを同時に考慮した論理故障シミュレーション Sof Error Rae(SER) 7 あるゲートの出力に発生したパルスが外部出力もしくはFFの入力にどのようなパルス幅とタイミングで到達するかを解析する到達するパルスの幅の上界を算出し パルスをラッチする確率を算出する FFまでの経路の有無とゲート通過のパルス幅の増減を考慮する 上界計算によるパルスの伝搬解析 Local maskn や再収斂によるパルス幅の減少を考慮しない 入力 時刻 図中では遅延計算を省略 入力 α 入力 β 入力 γ パルスが存在 ( 正常値と値が異なる時区間 ) 入力パターン (α,β,γ) (,,) (,,) (,,) (,,) (,,) (,,) (,,) (,,) 出力 正常値 提案手法におけるパルスの伝搬 (*,*,*) * 8 4

5 論理マスク効果の解析 ランダムサンプリングした外部入力ベクタの集合を用いて 各論理ゲートの出力値のエラーが外部出力または FF まで伝搬する確率を計算 厳密手法の処理時間 ゲート数 2 外部入力ベクタ数 問題点 : 回路規模に対してスケーラブルでない 開発した近似手法 () 各論理ゲートにおいて 全ての外部入力ベクタを下記に分類 A: エラーが外部出力やFFへ伝搬しないことが保証されるベクタ B: エラーが外部出力やFFへ伝搬する可能性があるベクタ (2) B に属する全ての外部入力ベクタを エラーが外部出力やFFへ伝搬する外部入力ベクタと見なす 論理マスク効果の悲観的な解析を保証 処理時間 ゲート数 外部入力ベクタ数 9 ツールチェインの将来像 利用システムのディペンダビリティ LSI システムのディペンダビリティ指標 システム 論理 回路 デバイス製造工程などの各種パラメータ情報 外部からの外乱要因とその確率 5

6 以下は予備資料 dd dd/2 maskn Elecrcal maskn 6 予備 (/6)

7 dd dd dd/2 dd/2 maskn Loc maskn 予備 (2/6) dd dd dd/2 dd/2 Propaaon B Falure 7 予備 (3/6)

8 dd dd dd/2 dd/2 Propaaon SET F CLR CLK Propaaon Requred Tme o Lac e alue T lac Falure 予備 (4/6) dd dd dd/2 dd/2 Propaaon SET F CLR CLK Propaaon Requred Tme o Lac e alue T lac Mal-Operaon 8 予備 (5/6)

9 dd dd dd/2 dd/2 Propaaon SET F CLR CLK Propaaon Requred Tme o Lac e alue T lac Lac-wndow maskn 予備 (E/6) Cell セルレベルのキャラクタライズ ナイーブなツールチェイン PHITS 日本原子力研究開発機構 数日 ~ 週間 環境条件 セルのデバイスモデル 中性子衝突による 2 次イオン輸送計算 電荷分布モデルの作成 デバイス 回路混合シミュレータを用いたパルス波形の計算 パルス幅ごとの発生確率の上限を求める 提案手法 領域ごとの収集電荷量を用いたノイズ電流モデルの近似 回路シミュレータを用いたパルス波形の計算 数秒 生確率パルス幅発幅ごとのパルス発生確率 提案手法を用いることで数千から数万サンプルを一日で実行可能 予備 2 9

10 CPU CPU のソフトエラー耐性評価 メモリデバイスのソフトエラー率 プログラム プログラム入力 HW 構成 FF の誤りパターン及び誤り頻度 命令の falure 率を計算 ( レジスタ転送レベル ) 開発予定 メモリデバイスの使用量を計算 開発済 CPU の入力が誤る回数 プログラムの falure 率を計算 ( 命令レベル ) CPU の出力が誤る回数 命令の falure 率 開発予定 命令レベルでのソフトエラー耐性の評価が可能 ソフトウェア開発者が命令セットシミュレーションを行うことでソフトエラー耐性の評価が可能 予備 3 RTL RTL 論理合成 ネットリスト タイミングエラー対策 タイミング制約タイミング制約タイミング制約 対策を施すパスの特定タイミング解析 ( ゲートレベル ) タイミングエラーを起こす可能性のあるパスの特定 2 カナリア FF への置換え特定したパスの終端 FF を置換え タイミングエラー対策を施したネットリスト 背景 先端微細化技術では, ばらつき問題が深刻 動作環境や履歴によって, 回路性能に差 タイミングエラー 動作不良が顕在化 置換え手順 要求される信頼性に応じてタイミング制約を与える 与えられたタイミング制約の一つに対してネットリストを合成するタイミング解析結果とタイミング制約から対策を施すパスを特定する残りのタイミング制約に対してネットリスト合成とパス特定を繰り返す特定したパスの終端 FFをカナリアFFに置換える 予備 4

Microsoft PowerPoint - 2-4_matsunaga

Microsoft PowerPoint - 2-4_matsunaga ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標

More information

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 本研究課題の背景 (1/2) ( 従来技術とその問題点 ) LSI の微細化 高速化 低電圧化 - ノイズマージンの低下化 - ノイズ ( ソフトエラー,

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification on BAST Architecture

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification  on BAST Architecture 29 年 2 月 4 日日本大学大学院生産工学研究科数理情報工学専攻修士論文発表会 BAST アーキテクチャにおけるランダムパターンレジスタント故障ドントケア抽出を用いた擬似ランダムパターンのビット反転数削減法に関する研究 日本大学院生産工学研究科数理情報工学専攻万玲玲 背景 概要 BAST アーキテクチャ 目的と提案手法 ハンガリアンアルゴリズム ランダムパターンレジスタント故障検出用ドントケア抽出法

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

智美塾 ゆもつよメソッドのアーキテクチャ

智美塾 ゆもつよメソッドのアーキテクチャ ゆもつよメソッドのテスト要求分析とテストアーキテクチャ設計 JaSST13 東京智美塾 2013 年 1 月 30 日 湯本剛 ( 日本 HP) tsuyoshi.yumoto@hp.com ゆもつよ風テスト開発プロセス テスト計画 実現したい品質の具体的把握 テスト箇所の選択 テストの目的設定 テスト対象アイテム特定 テスト分析 テストタイプ特定 機能の整理 & 再分類 テスト条件となる仕様項目特定

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

講義「○○○○」

講義「○○○○」 講義 システムの信頼性 内容. 直列システムの信頼性. 並列システムの信頼性 3. 直列 並列の複合システムの信頼性 4. 信頼性向上のための手法 担当 : 倉敷哲生 ビジネスエンジニアリング専攻 システムの構成 種々の機械や構造物, システムを分割していけば. 個々の要素 サブシステム となる. サブシステムの組み合わせ方式 直列系 並列系 m/ 冗長系 待機冗長系 3 直列システムの信頼性 直列系

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

第86回日本感染症学会総会学術集会後抄録(I)

第86回日本感染症学会総会学術集会後抄録(I) κ κ κ κ κ κ μ μ β β β γ α α β β γ α β α α α γ α β β γ μ β β μ μ α ββ β β β β β β β β β β β β β β β β β β γ β μ μ μ μμ μ μ μ μ β β μ μ μ μ μ μ μ μ μ μ μ μ μ μ β

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

O1-1 O1-2 O1-3 O1-4 O1-5 O1-6

O1-1 O1-2 O1-3 O1-4 O1-5 O1-6 O1-1 O1-2 O1-3 O1-4 O1-5 O1-6 O1-7 O1-8 O1-9 O1-10 O1-11 O1-12 O1-13 O1-14 O1-15 O1-16 O1-17 O1-18 O1-19 O1-20 O1-21 O1-22 O1-23 O1-24 O1-25 O1-26 O1-27 O1-28 O1-29 O1-30 O1-31 O1-32 O1-33 O1-34 O1-35

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

3.5 トランジスタ基本増幅回路 ベース接地基本増幅回路 C 1 C n n 2 R E p v V 2 v R E p 1 v EE 0 VCC 結合コンデンサ ベース接地基本増幅回路 V EE =0, V CC =0として交流分の回路 (C 1, C 2 により短絡 ) トランジスタ

3.5 トランジスタ基本増幅回路 ベース接地基本増幅回路 C 1 C n n 2 R E p v V 2 v R E p 1 v EE 0 VCC 結合コンデンサ ベース接地基本増幅回路 V EE =0, V CC =0として交流分の回路 (C 1, C 2 により短絡 ) トランジスタ 3.4 の特性を表す諸量 入力 i 2 出力 負荷抵抗 4 端子 (2 端子対 ) 回路としての の動作量 (i) 入力インピーダンス : Z i = (ii) 電圧利得 : A v = (iii) 電流利得 : A i = (iv) 電力利得 : A p = i 2 v2 i 2 i 2 =i 2 (v) 出力インピーダンス : Z o = i 2 = 0 i 2 入力 出力 出力インピーダンスの求め方

More information

バリデーション基準 1. 医薬品 医薬部外品 GMP 省令に規定するバリデーションについては 品質リスクを考慮し 以下の バリデーション基準 に基づいて実施すること 2. バリデーション基準 (1) バリデーションの目的バリデーションは 製造所の構造設備並びに手順 工程その他の製造管理及び品質管理の

バリデーション基準 1. 医薬品 医薬部外品 GMP 省令に規定するバリデーションについては 品質リスクを考慮し 以下の バリデーション基準 に基づいて実施すること 2. バリデーション基準 (1) バリデーションの目的バリデーションは 製造所の構造設備並びに手順 工程その他の製造管理及び品質管理の バリデーション基準 1. 医薬品 医薬部外品 GMP 省令に規定するバリデーションについては 品質リスクを考慮し 以下の バリデーション基準 に基づいて実施すること 2. バリデーション基準 (1) バリデーションの目的バリデーションは 製造所の構造設備並びに手順 工程その他の製造管理及び品質管理の方法 ( 以下この基準において 製造手順等 という ) が期待される結果を与えることを検証し これを文書とすることによって

More information

Microsoft PowerPoint - hiei_MasterThesis

Microsoft PowerPoint - hiei_MasterThesis LHC 加速器での鉛鉛衝突における中性 πおよびω 中間子測定の最適化 日栄綾子 M081043 クォーク物理学研究室 目的 概要 目的 LHC 加速器における TeV 領域の鉛鉛衝突実験における中性 π および ω 中間子の測定の実現可能性の検証 および実際の測定へ向けた最適化 何故鉛鉛衝突を利用して 何を知りたいのか中性 πおよびω 中間子測定の魅力 ALICE 実験検出器群 概要予想される統計量およびバックグランドに対するシグナルの有意性を見積もった

More information

目次 ペトリネットの概要 適用事例

目次 ペトリネットの概要 適用事例 ペトリネットを利用した状態遷移テスト 和田浩一 東京エレクトロン SDC FA グループ 目次 ペトリネットの概要 適用事例 ペトリネットの概要 - ペトリネットとは ペトリネット (Petri Net) とは カール アダム ペトリが 1962 年に発表した離散分散システムを数学的に表現する手法である 視覚的で 数学的な離散事象システムをモデル化するツールの一つである ペトリネットの概要 - ペトリネットの表記と挙動

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

はじめに 本ドキュメントは Redmine を使用して稼働する定量的プロジェクト管理ツール ( 以下 IPF と略します ) のヘルプです IPF の操作に関わる機能を解説しており Redmine 及び構成管理ツール (Subversion Git) の標準機能については 本ヘルプの記載対象外として

はじめに 本ドキュメントは Redmine を使用して稼働する定量的プロジェクト管理ツール ( 以下 IPF と略します ) のヘルプです IPF の操作に関わる機能を解説しており Redmine 及び構成管理ツール (Subversion Git) の標準機能については 本ヘルプの記載対象外として D08-3 定量的プロジェクト管理ツール Redmine 版 ヘルプ 操作編 第 1.0 版 2012 年 2 月 28 日 独立行政法人情報処理推進機構 技術本部ソフトウェア エンジニアリング センター Copyright 2012 IPA, Japan. All rights reserved 1/29 はじめに 本ドキュメントは Redmine を使用して稼働する定量的プロジェクト管理ツール

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

スライド 1

スライド 1 第 47 回集積回路技術リテラシー研究会 2017/10/2 トリガ回路を用いた 積分型時間デジタイザ回路 佐々木優斗 小澤祐喜 小林春夫 群馬大学理工学部電子情報理工学科小林研究室学部 4 年佐々木優斗 t14304053@gunma-u.ac.jp @ 東京工業大学すずかけ台キャンパス Kobayashi Lab. Gunma University アウトライン 2/36 研究背景 従来の時間デジタイザ回路

More information

プロジェクトを成功させる見積りモデルの構築と維持・改善 ~CoBRA法による見積りモデル構築とその活用方法について~

プロジェクトを成功させる見積りモデルの構築と維持・改善 ~CoBRA法による見積りモデル構築とその活用方法について~ 工数見積り手法 CoBRA ~ 勘 を見える化する見積り手法 ~ CoBRA 研究会 2011 年 5 月 情報技術研究センターシステム技術グループ Copyright 2011 MRI, All Rights Reserved ご紹介する内容 1.CoBRA 法の概要 2.CoBRAツール 3.CoBRAモデルでの見積り 4.CoBRAモデルの応用 5.CoBRAモデルの構築 6. まとめ 2 Copyright

More information

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

国土技術政策総合研究所 研究資料

国土技術政策総合研究所 研究資料 第 7 章 検査基準 7-1 検査の目的 検査の目的は 対向車両情報表示サービス 前方停止車両 低速車両情報表示サービスおよび その組み合わせサービスに必要な機能の品質を確認することである 解説 設備の設置後 機能や性能の総合的な調整を経て 検査基準に従い各設備検査を実施する 各設備検査の合格後 各設備間を接続した完成検査で機能 性能等のサービス仕様を満たしていることを確認する検査を実施し 合否を判定する

More information

1 911 9001030 9:00 A B C D E F G H I J K L M 1A0900 1B0900 1C0900 1D0900 1E0900 1F0900 1G0900 1H0900 1I0900 1J0900 1K0900 1L0900 1M0900 9:15 1A0915 1B0915 1C0915 1D0915 1E0915 1F0915 1G0915 1H0915 1I0915

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

21世紀型パラメータ設計―標準SN比の活用―

21世紀型パラメータ設計―標準SN比の活用― 世紀のパラメータ設計ースイッチ機構のモデル化ー 接点 ゴム 変位 スイッチ動作前 スイッチ動作後 反転ばねでスイッチの クリック感 を実現した構造 世紀型パラメータ設計 標準 SN 比の活用 0 世紀の品質工学においては,SN 比の中に, 信号因子の乱れである 次誤差 (S res ) もノイズの効果の中に加えて評価してきた.のパラメータ設計の例では, 比例関係が理想であるから, 次誤差も誤差の仲間と考えてもよかったが,

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

どのような便益があり得るか? より重要な ( ハイリスクの ) プロセス及びそれらのアウトプットに焦点が当たる 相互に依存するプロセスについての理解 定義及び統合が改善される プロセス及びマネジメントシステム全体の計画策定 実施 確認及び改善の体系的なマネジメント 資源の有効利用及び説明責任の強化

どのような便益があり得るか? より重要な ( ハイリスクの ) プロセス及びそれらのアウトプットに焦点が当たる 相互に依存するプロセスについての理解 定義及び統合が改善される プロセス及びマネジメントシステム全体の計画策定 実施 確認及び改善の体系的なマネジメント 資源の有効利用及び説明責任の強化 ISO 9001:2015 におけるプロセスアプローチ この文書の目的 : この文書の目的は ISO 9001:2015 におけるプロセスアプローチについて説明することである プロセスアプローチは 業種 形態 規模又は複雑さに関わらず あらゆる組織及びマネジメントシステムに適用することができる プロセスアプローチとは何か? 全ての組織が目標達成のためにプロセスを用いている プロセスとは : インプットを使用して意図した結果を生み出す

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Microsoft PowerPoint LC_7.ppt

Microsoft PowerPoint LC_7.ppt ( 第 7 回 ) 鹿間信介摂南大学理工学部電気電子工学科 9.3.(b) ポジティブエッジトリガ -FF 9.3.(b) ネガティブエッジトリガ -FF 演習 エッジトリガ -FF ( その ) # #2 S R SRラッチによる-FF == 入力にて異常発振の問題あり ( トグル動作ではあるが ) ==にてトグル動作, 発振なし MS -FF ( 第 5 回講義 ) エッジトリガ -FF( 今回

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

プロジェクトマネジメント知識体系ガイド (PMBOK ガイド ) 第 6 版 訂正表 - 第 3 刷り 注 : 次の正誤表は PMBOK ガイド第 6 版 の第 1 刷りと第 2 刷りに関するものです 本 ( または PDF) の印刷部数を確認するには 著作権ページ ( 通知ページおよび目次の前 )

プロジェクトマネジメント知識体系ガイド (PMBOK ガイド ) 第 6 版 訂正表 - 第 3 刷り 注 : 次の正誤表は PMBOK ガイド第 6 版 の第 1 刷りと第 2 刷りに関するものです 本 ( または PDF) の印刷部数を確認するには 著作権ページ ( 通知ページおよび目次の前 ) プロジェクトマネジメント知識体系ガイド (PMBOK ガイド ) 第 6 版 訂正表 - 第 3 刷り 注 : 次の正誤表は PMBOK ガイド第 6 版 の第 1 刷りと第 2 刷りに関するものです 本 ( または PDF) の印刷部数を確認するには 著作権ページ ( 通知ページおよび目次の前 ) の一番下を参照してください 10 9 8 などで始まる文字列の 最後の 数字は その特定コピーの印刷を示します

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

リソース制約下における組込みソフトウェアの性能検証および最適化方法

リソース制約下における組込みソフトウェアの性能検証および最適化方法 リソース制約下における組込みソフト ウェアの性能検証および最適化方法 広島市立大学 大学院情報科学研究科システム工学専攻 中田明夫倉田和哉百々太市 1 提案技術の概要 組込みシステムの開発 厳しいリソース制約 (CPU, ネットワークなど ) 非機能要求 ( リアルタイム性など ) の達成 開発プロセスにおける設計段階 性能問題を発見することが困難 実装段階で性能問題が発覚 設計の手戻りが発生 設計段階での性能検証手法

More information

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構 スキル領域と (8) ソフトウェアデベロップメント スキル領域と SWD-1 2012 経済産業省, 独立行政法人情報処理推進機構 スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD-2 2012 経済産業省, 独立行政法人情報処理推進機構 専門分野 ソフトウェアデベロップメントのスキル領域 スキル項目 職種共通スキル 項目 全専門分野 ソフトウェアエンジニアリング Web アプリケーション技術

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

__________________

__________________ 第 1 回シミュレータとモデル第 2 回伝送線路シミュレータ 1. 伝送線路シミュレータ電子機器の動作速度の高速化に伴い 伝送線路シミュレータが多く使われるようになって来ました しかし 伝送線路シミュレータも実に簡単に 間違えた結果 を出力します しかも 電子機器は進歩が急で 信号スピードはどんどん速くなり 伝送線路シミュレータも毎年のように機能アップしたり 精度向上をした 新製品 新バージョンが出てきます

More information

今週の進捗

今週の進捗 Virtualize APIC access による APIC フック手法 立命館大学富田崇詠, 明田修平, 瀧本栄二, 毛利公一 2016/11/30 1 はじめに (1/2) マルウェアの脅威が問題となっている 2015年に4 億 3000 万以上の検体が新たに発見されている マルウェア対策にはマルウェアが持つ機能 挙動の正確な解析が重要 マルウェア動的解析システム : Alkanet 仮想計算機モニタのBitVisorの拡張機能として動作

More information

目次 1: 安全性とソフトウェア 2: 宇宙機ソフトウェアにおける 安全 とは 3:CBCS 安全要求とは 4: 宇宙機ソフトウェアの実装例 5: 安全設計から得た新たな知見 6: 今後 2

目次 1: 安全性とソフトウェア 2: 宇宙機ソフトウェアにおける 安全 とは 3:CBCS 安全要求とは 4: 宇宙機ソフトウェアの実装例 5: 安全設計から得た新たな知見 6: 今後 2 宇宙機ソフトウェアにおける 安全要求と設計事例 宇宙航空研究開発機構 (JAXA) 情報 計算工学センター (JEDI) 梅田浩貴 (Hiroki Umeda) 目次 1: 安全性とソフトウェア 2: 宇宙機ソフトウェアにおける 安全 とは 3:CBCS 安全要求とは 4: 宇宙機ソフトウェアの実装例 5: 安全設計から得た新たな知見 6: 今後 2 1.1 安全性とは 安全性と信頼性の違いの例開かない踏切りは

More information

スライド 1

スライド 1 本資料について 本資料は下記論文を基にして作成されたものです. 文書の内容の正確さは保障できないため, 正確な知識を求める方は原文を参照してください. 著者 : 伊藤誠吾吉田廣志河口信夫 論文名 : 無線 LANを用いた広域位置情報システム構築に関する検討 出展 : 情報処理学会論文誌 Vol.47 No.42 発表日 :2005 年 12 月 著者 : 伊藤誠悟河口信夫 論文名 : アクセスポイントの選択を考慮したベイズ推定による無線

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

umeda_1118web(2).pptx

umeda_1118web(2).pptx 選択的ノード破壊による ネットワーク分断に耐性のある 最適ネットワーク設計 関西学院大学理工学部情報科学科 松井知美 巳波弘佳 選択的ノード破壊によるネットワーク分断に耐性のある最適ネットワーク設計 0 / 20 現実のネットワーク 現実世界のネットワークの分析技術の進展! ネットワークのデータ収集の効率化 高速化! 膨大な量のデータを解析できる コンピュータ能力の向上! インターネット! WWWハイパーリンク構造

More information

Microsoft PowerPoint - OS12.pptx

Microsoft PowerPoint - OS12.pptx # # この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました パワーポイント 7 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です # 主記憶管理 : ページ置き換え方式

More information

目次 2 1. イントロダクション 2. 実験原理 3. データ取得 4. データ解析 5. 結果 考察 まとめ

目次 2 1. イントロダクション 2. 実験原理 3. データ取得 4. データ解析 5. 結果 考察 まとめ オルソポジトロニウムの寿命測定による QED の実験的検証 課題演習 A2 2016 年後期 大田力也鯉渕駿龍澤誠之 羽田野真友喜松尾一輝三野裕哉 目次 2 1. イントロダクション 2. 実験原理 3. データ取得 4. データ解析 5. 結果 考察 まとめ 第 1 章イントロダクション 実験の目的 4 ポジトロニウム ( 後述 ) の崩壊を観測 オルソポジトロニウム ( スピン 1 状態 ) の寿命を測定

More information

Microsoft PowerPoint - CoBRA法の概要r1.pptx

Microsoft PowerPoint - CoBRA法の概要r1.pptx CoBRA 法の概要説明資料 CoBRA 法の概要と構築方法 ~ 勘 を見える化する見積り手法 ~ 2011 年 8 月 Copyright 2011 MRI, All Rights Reserved 内容 1.CoBRA 法の概要 2.CoBRA モデルの構築方法 2 Copyright 2011 MRI, All Rights Reserved 1.CoBRA 法の概要 1.CoBRA 法の概要

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SPI Japan 2012 車載ソフトウェア搭載製品の 機能安全監査と審査 2012 年 10 月 11 日 パナソニック株式会社デバイス社 菅沼由美子 パナソニックのデバイス製品 SPI Japan 2012 2 パナソニック デバイス社のソフト搭載製品 車載スピーカーアクティブ消音アクティブ創音歩行者用警告音 スマートエントリー グローバルに顧客対応 ソフトウェア搭載製品 車載 複合スイッチパネル

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

データ科学2.pptx

データ科学2.pptx データ科学 多重検定 2 mul%ple test False Discovery Rate 藤博幸 前回の復習 1 多くの検定を繰り返す時には 単純に個々の検定を繰り返すだけでは不十分 5% 有意水準ということは, 1000 回検定を繰り返すと, 50 回くらいは帰無仮説が正しいのに 間違って棄却されてすまうじちがあるということ ex) 1 万個の遺伝子について 正常細胞とガン細胞で それぞれの遺伝子の発現に差があるかどうかを検定

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

Microsoft PowerPoint SIGAL.ppt

Microsoft PowerPoint SIGAL.ppt アメリカン アジアンオプションの 価格の近似に対する 計算幾何的アプローチ 渋谷彰信, 塩浦昭義, 徳山豪 ( 東北大学大学院情報科学研究科 ) 発表の概要 アメリカン アジアンオプション金融派生商品の一つ価格付け ( 価格の計算 ) は重要な問題 二項モデルにおける価格付けは計算困難な問題 目的 : 近似精度保証をもつ近似アルゴリズムの提案 アイディア : 区分線形関数を計算幾何手法により近似 問題の説明

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

TC74HC00AP/AF

TC74HC00AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC00AP,TC74HC00AF Quad 2-Input NAND Gate TC74HC00A は シリコンゲート CMOS 技術を用いた高速 CMOS 2 入力 NAND ゲートです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 内部回路はバッファ付きの 3 段構成であり 高い雑音余裕度と安定な出力が得られます

More information

Microsoft PowerPoint - H22パワエレ第3回.ppt

Microsoft PowerPoint - H22パワエレ第3回.ppt パワーエレトクロニクス ( 舟木担当分 ) 第三回サイリスタ位相制御回路逆変換動作 平成 年 月 日月曜日 限目 誘導負荷 位相制御単相全波整流回路 導通期間 ( 点弧角, 消弧角 β) ~β( 正の半波について ) ~ β( 負の半波について ) β> となる時に連続導通となる» この時, 正の半波の導通期間は~» ダイオードでは常に連続導通 連続導通と不連続導通の境界を求める オン状態の微分方程式

More information

TC74HC14AP/AF

TC74HC14AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC14AP,TC74HC14AF Hex Schmitt Inverter TC74HC14A は シリコンゲート CMOS 技術を用いた高速 CMOS シュミットトリガインバータです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます ピン接続 機能は TC74HCU04 と同じですが すべての入力は約

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

JUSE-StatWorks/V5 活用ガイドブック

JUSE-StatWorks/V5 活用ガイドブック 4.6 薄膜金属材料の表面加工 ( 直積法 ) 直積法では, 内側に直交配列表または要因配置計画の M 個の実験, 外側に直交配列表または要因配置計画の N 個の実験をわりつけ, その組み合わせの M N のデータを解析します. 直積法を用いることにより, 内側計画の各列と全ての外側因子との交互作用を求めることができます. よって, 環境条件や使用条件のように制御が難しい ( 水準を指定できない )

More information

[ ]スマートセミナーバージョンアップリリースノート

[ ]スマートセミナーバージョンアップリリースノート スマートセミナー 2.0 バージョンアップリリースノート 株式会社シャノン 2010/9/18 1. はじめに...3 本リリースノートについて... 3 追加 修正される機能とユーザーへの影響について... 3 2. 今回追加された新機能のご紹介...5 会場 ID の置換機能... 5 カレンダー入力インターフェースの変更... 7 活動履歴一覧機能の個別セミナー対応... 7 申込者詳細の活動履歴の日付順表示...

More information

招待論文 フルスペック 8K スーパーハイビジョン圧縮記録装置の開発 3.3 記録制御機能と記録媒体 144 Gbps の映像信号を 1/8 に圧縮した場合 18 Gbps 程度 の転送速度が要求される さらに音声データやその他のメ タデータを同時に記録すると 記録再生には 20 Gbps 程度 の転送性能が必要となる また 記録媒体は記録装置から 着脱して持ち運ぶため 不慮の落下などにも耐性のあるこ

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

目次 取組み概要 取組みの背景 取組みの成果物 適用事例の特徴 適用分析の特徴 適用事例の分析結果から見えたこと JISAによる調査結果 どうやって 実践のヒント をみつけるか 書籍発行について紹介 今後に向けて 2

目次 取組み概要 取組みの背景 取組みの成果物 適用事例の特徴 適用分析の特徴 適用事例の分析結果から見えたこと JISAによる調査結果 どうやって 実践のヒント をみつけるか 書籍発行について紹介 今後に向けて 2 品質改善に取り組めば 生産性もアップ ~ ソフトウェア開発技術適用事例のデータ分析から見えてきたこと ~ 2016 年 5 月 12 日 独立行政法人情報処理推進機構技術本部ソフトウェア高信頼化センター ソフトウェアグループ 連携委員春山浩行 1 目次 取組み概要 取組みの背景 取組みの成果物 適用事例の特徴 適用分析の特徴 適用事例の分析結果から見えたこと JISAによる調査結果 どうやって 実践のヒント

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 5. メモリ管理 (2) 概要ページ管理 式ページ置換アルゴリズム 28/5/23 メモリ管理 (2) 1 ページング ( 復習 ) 仮想アドレス空間, 主記憶 ( 実アドレス空間 ) を固定サイズのページに分割 仮想アドレス空間のページを主記憶 ( メモリ ) のページに対応させる ページテーブル ( 変換表 ) を実メモリ上に保持 ページを単位としたアドレス変換 ( 仮想ページ番号, オフセット

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

日本機械学会 生産システム部門研究発表講演会 2015 資料

日本機械学会 生産システム部門研究発表講演会 2015 資料 ( 社 ) 日本機械学会生産システム部門研究発表講演会 2015 製造オペレーションマネジメント入門 ~ISA-95 が製造業を変える ~ 事例による説明 2015-3-16 Ver.1 IEC/SC65E/JWG5 国内委員アズビル株式会社村手恒夫 目次 事例によるケーススタディの目的 事例 : 果汁入り飲料水製造工場 情報システム構築の流れ 1. 対象問題のドメインと階層の確認 2. 生産現場での課題の調査と整理

More information

EBNと疫学

EBNと疫学 推定と検定 57 ( 復習 ) 記述統計と推測統計 統計解析は大きく 2 つに分けられる 記述統計 推測統計 記述統計 観察集団の特性を示すもの 代表値 ( 平均値や中央値 ) や ばらつきの指標 ( 標準偏差など ) 図表を効果的に使う 推測統計 観察集団のデータから母集団の特性を 推定 する 平均 / 分散 / 係数値などの推定 ( 点推定 ) 点推定値のばらつきを調べる ( 区間推定 ) 検定統計量を用いた検定

More information

NS-Draw Ver

NS-Draw Ver 第 2 章インストール 本章では コンピュータへの NS-Draw のインストール方法について解説します 次の STEP1~3の順番で説明を進めていきます 2.1 インストール 2.2 ライセンスの確認 2.3 回路シミュレーションの実行までの確認 2.1 インストールインストーラのメニューに従って インストール作業を行ってください インストール先を c:\program Files にすると Windows

More information

Microsoft Word - DS50-N A.doc

Microsoft Word - DS50-N A.doc BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユーティリティで定義される設定情報は CMOS RAM と呼ばれる特殊な領域に格納されます この設定情報は マザーボードに搭載されているバックアップ電池により保存され

More information

Microsoft PowerPoint - Tsuzuki.ppt

Microsoft PowerPoint - Tsuzuki.ppt 探索的テストの適用事例 - まずは 探索的テストをやろまい!! - 三菱電機メカトロニクスソフトウエア株式会社 都築将夫 0/19 アジェンダ 現状分析 改善策立案 探索的テストの特徴 弱みの克服 探索的テストの強みを生かす 成果 & 効果 今後の課題 1/19 現状 担当製品のソフトウェア 規模 : 肥大 ( ライン数 : 数 10KL 数 100KL) 構造 : 複雑 ( サイクロマティック複雑度

More information

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖 株式会社ソシオネクスト夏季インターンシップ募集要項 1. 会社紹介株式会社ソシオネクストは S o C (System-on-Chip) の設計 開発および販売を事業とする 2015 年 3 月設立の若い会社です 現在 約 2,850 人の仲間たちが世界 9 拠点で活躍しております 映像 通信 コンピューティング分野における世界トップレベルの技術を核に今日の様々なアプリケーションの進化を支え 人々の豊かな体験の実現に貢献します

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

生産ライン・設備機器メーカー双方の課題をIoTで解決!

生産ライン・設備機器メーカー双方の課題をIoTで解決! 第 28 回設計 製造ソリューション展 生産ライン 設備機器メーカー双方の課題を IoT で解決! 2017/6/21-23 株式会社日立ソリューションズ社会イノベーションシステム事業部社会イノベーション基盤開発本部第 1 部 1. IoT とは / 製造業における IoT の活用 1 1-1.IoT とは? モノのデータ ( の収集 ) 新たな価値を生む 価値 設備の遠隔監視故障予兆検知生産ラインの稼働率向上

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

15288解説_D.pptx

15288解説_D.pptx ISO/IEC 15288:2015 テクニカルプロセス解説 2015/8/26 システムビューロ システムライフサイクル 2 テクニカルプロセス a) Business or mission analysis process b) Stakeholder needs and requirements definieon process c) System requirements definieon

More information