Microsoft PowerPoint - 2-4_matsunaga

Size: px
Start display at page:

Download "Microsoft PowerPoint - 2-4_matsunaga"

Transcription

1 ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介

2 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標 設計変更 評価 解析 評価指標 設計変更 ディペンダビリティ向上設計技術 空間的 多重化設計 時間的 多重設計 ディペンダビリティ向上設計技術 空間的 多重化設計 時間的 多重設計 ディペンダビリティ向上設計技術 空間的 多重化設計 時間的 多重設計 ソフトエラーの因果関係 システムが正常時と異なる値を出力 システムが正常時と異なる状態に遷移 コアが正常時と異なる値を出力 正常時と異なる状態に遷移 ラッチされる 組合せ回路の出力まで伝搬 パルスの伝搬論理値の反転 電位の変動 予期しない電流源の出現 物理設計テスト設計 α 線, 中性子など 反転が保持される

3 階層設計に基づいた設計フロー 各階層における評価ツール ( 詳細レベルのBB 化による高速化 ) 階層間を結ぶ合成 最適化ツール ( 抽象レベルでの最適化 ) 階層設計のフローとの整合と評価の効率化 ( タイミング 電力 ) 回路レベル 論理レベル INV IN M2 M1 OUT IN OUT A X 5 A + C B X B 九州大学システムLSI 研究センター 3 C X 8 RT レベル VDD 評価ツール V th 回路シミュレータ T delay 論理シミュレータ T delay RTL シミュレータ 合成 最適化ツール フィジカルシンセシス ( 配置配線 ゲートサイジング ) 論理合成 ( テクノロジマッパ )

4 ソフトエラー 放射線粒子が引き起こすメモリセルや論理ゲートの出力値の反転 発生メカニズム 1 中性子 2 核反応 S D Si 層 4 電荷収集 3 二次イオン g 5 パルス発生 Vdd Vdd/2 誤った値 ( ソフトエラー ) 近年の LSI の微細化に伴い ソフトエラーは増加の可能性が指摘されている 4

5 論理回路のソフトエラー 論理回路が誤動作を起こす場合 パルス発生確率は幅ごとに異なる パルス g h i 伝搬 誤った値が取り込まれる FF パルスが外部出力に伝搬, もしくは記憶素子に値として取り込まれた場合に回路は誤動作を起こす可能性を持つ FF にパルスが到達した場合 FF クロック周期セットアップ ホールド時間値が取り込まれる値は取り込まれない パルスが値として取り込まれる確率は幅に依存 論理回路のパルス伝搬解析の際 各論理ゲートで幅ごとのパルス発生確率を求めることが重要 5

6 セルレベルの SER 解析手法

7 ソフトエラー起因パルスの セルキャラクタライズ セルライブラリ中のセル全てに対して 予めパルスに関する情報をキャラクタライズ 対象パラメータ パルス幅 遷移時間 発生確率 ネットリストを与えれば キャラクタライズした情報を用いて論理回路のソフトエラー耐性を評価可能 セル単位のソフトエラー故障モデル ネットリスト パルスの伝搬解析順序回路のソフトエラー解析 論理回路のソフトエラー耐性 7

8 パルス発生確率の算出 中性子照射試験による加速試験 実験設備などコストが大きい 測定時間が長い ソフトエラーシミュレーション 放射線物理レベル デバイスレベル トランジスタレベルの各分野で多くの既存研究がなされている しかし これらを統合したシミュレーションベースのパルス発生確率計算のフローはまだ確立されていない パルス発生確率を算出するためには ソフトエラー発生過程を一貫してシミュレーションする手法が必要 放射線物理レベル 1 中性子 2 核反応 3 二次イオンデバイス & トランジスタレベル 4 電荷収集 5 パルス発生 8

9 生回数パルス幅発モンテカルロシミュレーションによるパルス発生確率の算出 ソフトエラー発生過程 環境条件 セルのデバイスモデル 1 中性子 2 核反応 PHITS 日本原子力研究開発機構 中性子衝突による 2 次イオン輸送計算 3 二次イオン 電荷分布モデルの作成 4 電荷収集 5 パルス発生 数日 ~ 1 週間 デバイスシミュレータを用いたパルス波形の計算 デバイスシミュレーションは正確にパルスを見積もるが実行時間が問題! 幅ごとのパルス発生確率 高い精度を得るためには十分な数のシミュレーションが必要 9

10 電流波形見積もりモデルの作成手順 S 有感領域 有感領域 : 電荷が発生した際にパルスが発生する可能性がある領域 D 1 デバイスシミュレーションを行い 有感領域を選定 ドレイン中心 q 2 有感領域をいくつかの領域に分割 Imax t1 t2 q q q Imax(q) t1(q) t2(q) Imax s t1 t2 電流波形見積もりモデルI5(q, t) を作成 3 各領域ごとに与える電荷量を変化させてデバイスシミュレーションを行い その結果を基に電流波形見積モデルを作成 デバイス内をいくつかの領域に分割して 領域ごとに発生電荷量から電流波形を見積もる 電荷分布の違いを反映して電流波形を見積もる 1

11 生回数パルス幅発パルス発生確率算出フローにおける提案手法の位置づけ ナイーブなツールチェイン 環境条件 セルのデバイスモデル 中性子衝突による 2 次イオン輸送計算 モンテカルロシミュレーションの回数 : N 提案手法を用いるツールチェイン デバイスシミュレーション ここで N >> M M 回 デバイスシミュレーション 数日 ~ 1 週間 デバイスシミュレーション回数 N 回 電荷分布モデルの作成 パルス波形の計算 幅ごとのパルス発生確率 電流値の総和 回路シミュレーション 電流波形見積もりモデル 数秒 デバイスシミュレーション回数 M 回 11

12 実験 実験目的 提案手法のパルス見積もり精度を評価 実験内容 65nm プロセスのインバータの NMOS に二次イオンの発生を想定 提案手法で計算したパルス幅とデバイスシミュレーションで求めたパルス幅を比較 右図の 7 つのイオントラックでパルス幅をそれぞれ測定 二次イオン設定 LET 値 :.11[pc/μm] サンプル番号 S G D S G D S G D S G D S G D S G D S G D 使用ツール ATLAS(Silvaco 社デバイスシミュレータ ) 12

13 パルス幅 [ps] 3 実験結果 デバイス Sim 提案手法 5 サンプル番号 パルス幅は~24[ps] ( サンプル4とサンプル7はパルスが発生しなかったことを示す ) 13

14 実験結果 ( デバイス Sim で求めたパルス幅を 1 として正規化 ) サンプル 4 サンプル 7 はデバイスシミュレーション 提案手法ともパルス幅が であったため記載していない サンプル番号 デバイスシミュレーションの結果に対してパルス幅の誤差は約 2~55% 前後 特にサンプル 3, 5, 6 の誤差は 5% 前後と大きい ドレイン直下の領域に多く電荷が発生しているサンプル ドレイン直下の領域 電荷量の変化に対してパルス幅の変化も大きい 電流波形見積もりモデルの精度が悪い可能性が考えられる t2 14 q

15 論理レベルの SER 解析手法

16 16 SER 計算の流れ SER 計算の流れを 3 つのステップに大別 (3) 外部出力への伝搬 π 初期状態以降のクロックにおける状態遷移の解析及び誤動作が発生する確率の計算ソフトエラー外部入力 n 組み合わせ回路部 FF (1) ソフトエラーの発生 各ゲートにおけるパルスの発生確率の計算 n 外部出力 SER P P init (2)FFへの伝搬ソフトエラー発生直後の状態 (FFの保持する値の組み合わせ ) がπ である確率 P init (π ) の計算 ( π) Psteady ( s) Pe ( s, π) P steady P e (s) ( s, π) 研究対象 π Π f f π Π s S P init ( π ) P base ( ππ, f ) π, π ) : 状態 π ( Π) が, 外部出力へ base( f 誤った値が伝搬する状態 πf ( Π f ) へ遷移する確率 : ソフトエラー発生直前の状態が s( S) である確率 : ソフトエラー発生前後で状態がからπ へ遷移する確率 s

17 17 各ゲートのピン容量や配線抵抗などの影響でパルスは各ゲートを通過する度に遅延を生じ波形が変化 加えて,3つのパルス伝搬阻害要因の発生を判断 クロック (1) パル (2) ス (3) 1 logical masking 発生 latch-window masking 発生 ラッチウィンドウ ラッチ ( 値が保持 ) される 研究対象 ラッチされない 電圧 Vdd Vdd/2 時刻? 電圧 Vdd Vdd/2 FF の入力へどのようなパルスが伝搬? electrical masking 発生 出力 時刻 FF の入力へ伝搬するパルスを解析し そのパルスがラッチされる確率を計算 パルスの伝搬解析手法と FF ラッチされない可能性 FFにおけるパルスのラッチ確率計算が研究対象 パルスがラッチされる確率は?

18 準備 : パルスのモデル 18 電圧 [ Vdd V] クロック パルス 立ち上がり時刻 クロックの立ち上がり時刻 パルス幅 パルスを 4 つのパラメータでモデル化 時刻 パルス幅 立ち上がり遷移時間 立ち下がり遷移時間 立ち上がり時刻 立ち上がり遷移時間 立ち下がり遷移時間

19 19 SPICEによる回路シミュレーションによりパルス幅ごとのラッチ確率を解析 ( クロックサイクル時間は1ns) 既存の線形モデルとラッチ確率を比較 ラッチ確率 遷移時間の違いを考慮した高精度なラッチ確率のモデル化 回路回路シミュレーション Sim 結果既存手法 Shivakumarらの既存モデル パルス幅 [ps] 既存モデルはラッチ確率を過小見積もり 実際のラッチ確率はパルス幅に比例しない 実験結果 モデル化結果既存 提案モデルの双方を利用してSER を計算し真値との誤差でモデルを評価 遷移時間が 1ps のとき 既存モデルの誤差 :24.17% 提案モデルの誤差 :.5% 遷移時間が 2ps のとき 既存モデルの誤差 :26.44% 提案モデルの誤差 : 3.62% 提案モデルは既存モデルと比べて精度が高いモデルであることを確認

20 伝搬解析における問題点 2 入力パターン, ソフトエラーの発生箇所, 発生するパルスの違いに応じてFFの入力へ伝搬するパルスは変化 N 回路の入力数をNとすると入力パターン数は2 になるため実行時間が膨大になることが予想される 入力パターン数に依存しない近似的な伝搬解析手法を検討 信頼性の評価を行うという観点からソフトエラーに対する脆弱性を過小見積もりしないよう評価 入力パターンが i の場合の ( s, π) を ( s, π, i) とすると P ( s, π) max{ P ( s, π, i)} P ( s, π) 入力がである確率 ( ただし P in ( i) 1) e e e を満たす ( s, π) の計算手法を検討 i I P ( s, π) P ( s, π, i) P ( i) e i I e in * P e P e P e * i i I

21 21 上界計算によるパルスの伝搬解析の 入力パターンが異なるとlogical maskingにより出力への故障値の伝搬も変化入力パターン出力 時刻 入力 α 入力 β 入力 γ logical masking を考慮せずパルスは全て出力へ伝搬 高速 パルス幅の大きさに対してラッチ確率は単調増加 t 入力 t1 t2t3 t4 図中では遅延計算を省略 t5 速化手法の検討 パルスが存在 ( 正常値と値が異なる時区間 ) max{ P i I e 1 1 提案手法におけるパルスの伝搬 ( s, π, i)} * e P ( s, π) (α,β,γ) (,,) (,,1) (,1,) (,1,1) (1,,) (1,,1) (1,1,) (1,1,1) (*,*,*) を満たす 過小見積もりでない t t1 t2 t3 t4 t5 高 正常値 *

22 提案手法の評価 (SER) 22 MCNCベンチマークセットの一部に対して, 提案手法による SER と, 全入力パターンを用いた解析手法 ( 厳密手法 ) によるSER の誤差を評価 ( パルスの幅 : 3ps, 遷移時間 : 3ps) SER( 提案手法 ) / SER( 厳密手法 )[%] 最大の誤差は48% の過大見積もり att1 z4ml f51m att12 9symml att9 att5 att17 att19 att18 att2 ベンチマーク回路名 最小の誤差は 13% の過大見積もり

23 提案手法の評価 ( 実行時間 ) 23 回路名入力数出力数ゲート数 実行時間 [s] 厳密提案 厳密 / 提案 att z4ml f51m att symm l att att att att att att 厳密手法の実行時間は入力数のべき乗に比例 入力数の大きな回路に対しては現実的な時間での実行が困難 提案手法は入力数に依存せず高速に SERの近似値が計算できることを確認

24 課題 計算量と精度のトレードオフ SETのパルスのモデル化 パルス幅ごとの確率分布は必要か? より上位のエラーモデル プロセッサの命令セットアーキテクチャ (ISA) レベル SystemCのトランザクションレベル (TLM)

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤 統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤り 悪意のある攻撃など ) に対して 統一的な視点からディジタルLSIシステムのディペンダビリティを確保するための設計技術の確立を目指す ディペンダビリティの解析と対策回路の合成を行うEA

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Microsoft PowerPoint LC_7.ppt

Microsoft PowerPoint LC_7.ppt ( 第 7 回 ) 鹿間信介摂南大学理工学部電気電子工学科 9.3.(b) ポジティブエッジトリガ -FF 9.3.(b) ネガティブエッジトリガ -FF 演習 エッジトリガ -FF ( その ) # #2 S R SRラッチによる-FF == 入力にて異常発振の問題あり ( トグル動作ではあるが ) ==にてトグル動作, 発振なし MS -FF ( 第 5 回講義 ) エッジトリガ -FF( 今回

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 本研究課題の背景 (1/2) ( 従来技術とその問題点 ) LSI の微細化 高速化 低電圧化 - ノイズマージンの低下化 - ノイズ ( ソフトエラー,

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

目次 2 1. イントロダクション 2. 実験原理 3. データ取得 4. データ解析 5. 結果 考察 まとめ

目次 2 1. イントロダクション 2. 実験原理 3. データ取得 4. データ解析 5. 結果 考察 まとめ オルソポジトロニウムの寿命測定による QED の実験的検証 課題演習 A2 2016 年後期 大田力也鯉渕駿龍澤誠之 羽田野真友喜松尾一輝三野裕哉 目次 2 1. イントロダクション 2. 実験原理 3. データ取得 4. データ解析 5. 結果 考察 まとめ 第 1 章イントロダクション 実験の目的 4 ポジトロニウム ( 後述 ) の崩壊を観測 オルソポジトロニウム ( スピン 1 状態 ) の寿命を測定

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

スライド 1

スライド 1 第 47 回集積回路技術リテラシー研究会 2017/10/2 トリガ回路を用いた 積分型時間デジタイザ回路 佐々木優斗 小澤祐喜 小林春夫 群馬大学理工学部電子情報理工学科小林研究室学部 4 年佐々木優斗 t14304053@gunma-u.ac.jp @ 東京工業大学すずかけ台キャンパス Kobayashi Lab. Gunma University アウトライン 2/36 研究背景 従来の時間デジタイザ回路

More information

Kumamoto University Center for Multimedia and Information Technologies Lab. 熊本大学アプリケーション実験 ~ 実環境における無線 LAN 受信電波強度を用いた位置推定手法の検討 ~ InKIAI 宮崎県美郷

Kumamoto University Center for Multimedia and Information Technologies Lab. 熊本大学アプリケーション実験 ~ 実環境における無線 LAN 受信電波強度を用いた位置推定手法の検討 ~ InKIAI 宮崎県美郷 熊本大学アプリケーション実験 ~ 実環境における無線 LAN 受信電波強度を用いた位置推定手法の検討 ~ InKIAI プロジェクト @ 宮崎県美郷町 熊本大学副島慶人川村諒 1 実験の目的 従来 信号の受信電波強度 (RSSI:RecevedSgnal StrengthIndcator) により 対象の位置を推定する手法として 無線 LAN の AP(AccessPont) から受信する信号の減衰量をもとに位置を推定する手法が多く検討されている

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

電子回路I_6.ppt

電子回路I_6.ppt 電子回路 Ⅰ 第 6 回 電子回路 Ⅰ 7 講義内容. 半導体素子 ( ダイオードとトランジスタ ). 基本回路 3. 増幅回路 バイポーラトランジスタの パラメータと小信号等価回路 二端子対回路 パラメータ 小信号等価回路 FET(MOFET) の基本増幅回路と等価回路 MOFET の基本増幅回路 MOFET の小信号等価回路 電子回路 Ⅰ 7 増幅回路の入出力インピーダンス 増幅度 ( 利得 )

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析 [17.7 White Paper] 6 V 系スーパージャンクションパワー MOSFET TO-247-4L パッケージのシミュレーションによる解析 MOSFET チップの高速スイッチング性能をより引き出すことができる 4 ピン新パッケージ TO-247-4L 背景 耐圧が 6V 以上の High Voltage(HV) パワー半導体ではオン抵抗と耐圧のトレードオフの改善を行うためスーパージャンクション

More information

TC4093BP/BF

TC4093BP/BF 東芝 CMOS デジタル集積回路シリコンモノリシック TC4093BP, TC4093BF TC4093BP/TC4093BF Quad 2-Input NAND Schmitt Triggers は 全入力端子にシュミットトリガ機能をもった 4 回路の 2 入力 NAND ゲートです すなわち 入力波形の立ち上がり時と立ち下がり時に回路しきい値電圧が異なる ( P N ) のため 通常の NAND

More information

ボルツマンマシンの高速化

ボルツマンマシンの高速化 1. はじめに ボルツマン学習と平均場近似 山梨大学工学部宗久研究室 G04MK016 鳥居圭太 ボルツマンマシンは学習可能な相互結合型ネットワー クの代表的なものである. ボルツマンマシンには, 学習のための統計平均を取る必要があり, 結果を求めるまでに長い時間がかかってしまうという欠点がある. そこで, 学習の高速化のために, 統計を取る2つのステップについて, 以下のことを行う. まず1つ目のステップでは,

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information

Microsoft PowerPoint - hiei_MasterThesis

Microsoft PowerPoint - hiei_MasterThesis LHC 加速器での鉛鉛衝突における中性 πおよびω 中間子測定の最適化 日栄綾子 M081043 クォーク物理学研究室 目的 概要 目的 LHC 加速器における TeV 領域の鉛鉛衝突実験における中性 π および ω 中間子の測定の実現可能性の検証 および実際の測定へ向けた最適化 何故鉛鉛衝突を利用して 何を知りたいのか中性 πおよびω 中間子測定の魅力 ALICE 実験検出器群 概要予想される統計量およびバックグランドに対するシグナルの有意性を見積もった

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

1 背景と目的 1.1 背景 プロセスばらつきが与える影響の増大 トランジスタ特性や配線構造が変動 LSI の動作速度が変動 タイミング検証の精度が低下 Sim OK LSI NG!! 2002 年電子情報通信学会ソサイエティ大会 JEITA, All rights reserved.

1 背景と目的 1.1 背景 プロセスばらつきが与える影響の増大 トランジスタ特性や配線構造が変動 LSI の動作速度が変動 タイミング検証の精度が低下 Sim OK LSI NG!! 2002 年電子情報通信学会ソサイエティ大会 JEITA, All rights reserved. プロセスばらつきを考慮した 遅延計算モデルの提案と ばらつき要因解析 ( 社 ) 電子情報技術産業協会デシミクロン設計研究会配線ばらつきタスクグループ 栗山茂 ( 三菱 ), 菊地原秀行 ( 沖 ), 安島裕恵 ( 日立 ), 永瀬圭司 ( シャープ ), 坂田明雄 ( 東芝 ), 山口龍一 ( 松下 ) 2002 年電子情報通信学会ソサイエティ大会 1 2002 JEITA, All rights

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification on BAST Architecture

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification  on BAST Architecture 29 年 2 月 4 日日本大学大学院生産工学研究科数理情報工学専攻修士論文発表会 BAST アーキテクチャにおけるランダムパターンレジスタント故障ドントケア抽出を用いた擬似ランダムパターンのビット反転数削減法に関する研究 日本大学院生産工学研究科数理情報工学専攻万玲玲 背景 概要 BAST アーキテクチャ 目的と提案手法 ハンガリアンアルゴリズム ランダムパターンレジスタント故障検出用ドントケア抽出法

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

The DatasheetArchive - Datasheet Search Engine

The DatasheetArchive - Datasheet Search Engine 東芝 CMOS デジタル集積回路シリコンモノリシック TC4069UBP,TC4069UBF,TC4069UBFT TC4069UBP/TC4069UBF/TC4069UBFT Hex Inverter は 6 回路のインバータです 内部回路はシンプルな 1 段ゲート構成のため 本来のインバータの他に CR 発振回路 / 水晶発振回路 / リニアアンプ等の応用に適しています 1 段ゲート構成のため

More information

研修コーナー

研修コーナー l l l l l l l l l l l α α β l µ l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l

More information

TC74HC112AP/AF

TC74HC112AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC112AP,TC74HC112AF Dual J-K Flip Flop with Preset and Clear TC74HC112A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電流で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って

More information

3.5 トランジスタ基本増幅回路 ベース接地基本増幅回路 C 1 C n n 2 R E p v V 2 v R E p 1 v EE 0 VCC 結合コンデンサ ベース接地基本増幅回路 V EE =0, V CC =0として交流分の回路 (C 1, C 2 により短絡 ) トランジスタ

3.5 トランジスタ基本増幅回路 ベース接地基本増幅回路 C 1 C n n 2 R E p v V 2 v R E p 1 v EE 0 VCC 結合コンデンサ ベース接地基本増幅回路 V EE =0, V CC =0として交流分の回路 (C 1, C 2 により短絡 ) トランジスタ 3.4 の特性を表す諸量 入力 i 2 出力 負荷抵抗 4 端子 (2 端子対 ) 回路としての の動作量 (i) 入力インピーダンス : Z i = (ii) 電圧利得 : A v = (iii) 電流利得 : A i = (iv) 電力利得 : A p = i 2 v2 i 2 i 2 =i 2 (v) 出力インピーダンス : Z o = i 2 = 0 i 2 入力 出力 出力インピーダンスの求め方

More information

TC74HC109AP/AF

TC74HC109AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC19AP,TC74HC19AF Dual J-K Flip-Flop with Preset and Clear TC74HC19A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 復習 ) 時系列のモデリング ~a. 離散時間モデル ~ y k + a 1 z 1 y k + + a na z n ay k = b 0 u k + b 1 z 1 u k + + b nb z n bu k y k = G z 1 u k = B(z 1 ) A(z 1 u k ) ARMA モデル A z 1 B z 1 = 1 + a 1 z 1 + + a na z n a = b 0

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

TC74HC4017AP/AF

TC74HC4017AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4017AP,TC74HC4017AF Decade Counter/Divider TC74HC4017A は シリコンゲート CMOS 技術を用いた高速 10 進ジョンソンカウンタです CMOS の特長である低い消費電力で 等価な LSTTL に匹敵する高速動作を実現できます CK あるいは CE 入力に印加されたカウントパルスの数により

More information

TC74HC14AP/AF

TC74HC14AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC14AP,TC74HC14AF Hex Schmitt Inverter TC74HC14A は シリコンゲート CMOS 技術を用いた高速 CMOS シュミットトリガインバータです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます ピン接続 機能は TC74HCU04 と同じですが すべての入力は約

More information

TC74HC00AP/AF

TC74HC00AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC00AP,TC74HC00AF Quad 2-Input NAND Gate TC74HC00A は シリコンゲート CMOS 技術を用いた高速 CMOS 2 入力 NAND ゲートです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 内部回路はバッファ付きの 3 段構成であり 高い雑音余裕度と安定な出力が得られます

More information

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET 1 1. 適用 本は SiC-MOSFET 一体取付形 2 回路ゲートドライバー について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET パワーモジュール BSM180D12P2C101 に直接実装できる形状で SiC-MOSFET のゲート駆動回路と DC-DC コンバータを 1 ユニット化したものです SiC-MOSFET

More information

不確かさ 資料 1/8

不確かさ 資料 1/8 不確かさ 資料 /8 天びんの校正の不確かさの目安 表 に 代表的な電子天びんの校正の不確かさ ( 目安 ) 示します 表 校正の不確かさ ( 目安 ) 最小表示 機種 校正ポイント拡張不確かさ ( 風袋なし ) (k=2) 0.00mg BM-20 g 0.09 mg GH-202 50 g 0.7 mg 0.0mg GH-252 00 g 0.3 mg BM-252 00 g 0.29 mg GR-20/GH-20

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

智美塾 ゆもつよメソッドのアーキテクチャ

智美塾 ゆもつよメソッドのアーキテクチャ ゆもつよメソッドのテスト要求分析とテストアーキテクチャ設計 JaSST13 東京智美塾 2013 年 1 月 30 日 湯本剛 ( 日本 HP) tsuyoshi.yumoto@hp.com ゆもつよ風テスト開発プロセス テスト計画 実現したい品質の具体的把握 テスト箇所の選択 テストの目的設定 テスト対象アイテム特定 テスト分析 テストタイプ特定 機能の整理 & 再分類 テスト条件となる仕様項目特定

More information

Microsoft PowerPoint - 資料04 重回帰分析.ppt

Microsoft PowerPoint - 資料04 重回帰分析.ppt 04. 重回帰分析 京都大学 加納学 Division of Process Control & Process Sstems Engineering Department of Chemical Engineering, Koto Universit manabu@cheme.koto-u.ac.jp http://www-pse.cheme.koto-u.ac.jp/~kano/ Outline

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D 東芝 Bi CMOS 集積回路シリコンモノリシック TB62705CP/CF/CFN TB62705CP,TB62705CF,TB62705CFN 8 ビット定電流 LED ドライバ TB62705CP / CF / CFN は 8 ビットの電流値を可変可能な定電流回路と これをオン オフ制御する 8 ビットシフトレジスタ ラッチおよびゲート回路から構成された定電流 LED ドライバです ( アノードコモン

More information

21世紀型パラメータ設計―標準SN比の活用―

21世紀型パラメータ設計―標準SN比の活用― 世紀のパラメータ設計ースイッチ機構のモデル化ー 接点 ゴム 変位 スイッチ動作前 スイッチ動作後 反転ばねでスイッチの クリック感 を実現した構造 世紀型パラメータ設計 標準 SN 比の活用 0 世紀の品質工学においては,SN 比の中に, 信号因子の乱れである 次誤差 (S res ) もノイズの効果の中に加えて評価してきた.のパラメータ設計の例では, 比例関係が理想であるから, 次誤差も誤差の仲間と考えてもよかったが,

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

Microsoft PowerPoint SIGAL.ppt

Microsoft PowerPoint SIGAL.ppt アメリカン アジアンオプションの 価格の近似に対する 計算幾何的アプローチ 渋谷彰信, 塩浦昭義, 徳山豪 ( 東北大学大学院情報科学研究科 ) 発表の概要 アメリカン アジアンオプション金融派生商品の一つ価格付け ( 価格の計算 ) は重要な問題 二項モデルにおける価格付けは計算困難な問題 目的 : 近似精度保証をもつ近似アルゴリズムの提案 アイディア : 区分線形関数を計算幾何手法により近似 問題の説明

More information

TO: Katie Magee

TO:	Katie Magee アプリケーション ノート AN-1053 ip1201 または ip1202 を搭載した回路の電源起動法 David Jauregui, International Rectifier 目次項 1 はじめに...2 2 電源起動法...2 2.1 シーケンシャルな立ち上げ...3 2.2 比例関係を保った立ち上げ...3 2.3 同時立ち上げ...4 3 結論...6 多くの高性能な DSP( デジタル

More information

(Microsoft PowerPoint - \217W\220\317\211\361\230H\215H\212w_ ppt)

(Microsoft PowerPoint - \217W\220\317\211\361\230H\215H\212w_ ppt) 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 集積回路工学 1 レイアウトの作業 トランジスタの形状と位置を決定 トランジスタ間を結ぶ配線の経路を決定 製造工程の製造精度に対し 十分な余裕を持った設計ー > デザインルール チップ面積の最小化 遅延の最小化 消費電力の最小化 仕様設計 Schematic の作成 / 修正 Simulation DRC/LVS OK? OK? LPE/Simulation

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の 付録 A. OP アンプ内部回路の subckt 化について [ 目的 ] 実験で使用した LM741 の内部回路を subckt 化して使用する [ 手順と結果 ] LTspice には sample として LM741 の内部回路がある この内部回路は LM741.pdf[1] を参照している 参考サイト : [1]http://www.ti.com/lit/ds/symlink/lm741.pdf

More information

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 )

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 ) 東芝 Bi CMOS 集積回路シリコンモノリシック TB62706BN,TB62706BF TB62706BN/BF 16 ビット定電流 LED ドライバ TB62706BN TB62706BF は 16 ビットの電流値を可変可能な定電流回路と これをオン オフ制御する 16 ビットシフトレジスタ ラッチおよびゲート回路から構成された定電流 LED ドライバです ( アノードコモン ) Bi CMOS

More information

Microsoft PowerPoint - 6.PID制御.pptx

Microsoft PowerPoint - 6.PID制御.pptx プロセス制御工学 6.PID 制御 京都大学 加納学 Division of Process Control & Process Systems Engineering Department of Chemical Engineering, Kyoto University manabu@cheme.kyoto-u.ac.jp http://www-pse.cheme.kyoto-u.ac.jp/~kano/

More information

問題 バイポーラ電源がないと 正と負の電圧や電流を瞬断なくテスト機器に供給することが困難になります 極性反転リレーやスイッチ マトリクスを持つ 1 象限または 2 象限電源では V またはその近傍に不連続が生じ これが問題になる場合があります ソリューション 2 象限電圧のペアを逆直列に接続すれば

問題 バイポーラ電源がないと 正と負の電圧や電流を瞬断なくテスト機器に供給することが困難になります 極性反転リレーやスイッチ マトリクスを持つ 1 象限または 2 象限電源では V またはその近傍に不連続が生じ これが問題になる場合があります ソリューション 2 象限電圧のペアを逆直列に接続すれば 太陽電池セル / モジュール向けテスト ソリューション Agilent 663XB 電源を逆接続して 太陽電池セル / モジュール テスト用の 4 象限動作を実現 Application Note 概要 電源を使って太陽電池セル / モジュールの性能を完全に特性評価するには 電圧を正方向と逆方向で印加する必要があります ソーラ デバイスが明状態 ( 光が照射された状態 ) のときは 電源は可変電圧負荷として動作し

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

__________________

__________________ 第 1 回シミュレータとモデル第 2 回伝送線路シミュレータ 1. 伝送線路シミュレータ電子機器の動作速度の高速化に伴い 伝送線路シミュレータが多く使われるようになって来ました しかし 伝送線路シミュレータも実に簡単に 間違えた結果 を出力します しかも 電子機器は進歩が急で 信号スピードはどんどん速くなり 伝送線路シミュレータも毎年のように機能アップしたり 精度向上をした 新製品 新バージョンが出てきます

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

PowerPoint Presentation

PowerPoint Presentation 半導体電子工学 II 神戸大学工学部 電気電子工学科 12/08/'10 半導体電子工学 Ⅱ 1 全体の内容 日付内容 ( 予定 ) 備考 1 10 月 6 日半導体電子工学 I の基礎 ( 復習 ) 11/24/'10 2 10 月 13 日 pn 接合ダイオード (1) 3 10 月 20 日 4 10 月 27 日 5 11 月 10 日 pn 接合ダイオード (2) pn 接合ダイオード (3)

More information

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部 当社 SPICE モデルを用いたいたシミュレーションシミュレーション例 この資料は 当社 日本ケミコン ( 株 ) がご提供する SPICE モデルのシミュレーション例をご紹介しています この資料は OrCAD Capture 6.( 日本語化 ) に基づいて作成しています 当社 SPICE モデルの取り扱いに関するご注意 当社 SPICE モデルは OrCAD Capture/PSpice 及び

More information

スライド 1

スライド 1 フリップフロップは 1 ビットの記憶素子です セット リセットの 2 つの状態を持っていて どちらの状態になっているかで情報を記憶します 計算機基礎を取っている方は機能面の働きは理解していると思います ここでは内部構造 STA(Static Timing Analysis) をやります 思い出して関連付けてください 1 最も簡単な記憶回路は NOT ゲートを 2 つ用意して 出力を互いの入力に繋ぎます

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

Microsoft PowerPoint - 集積デバイス工学5.ppt

Microsoft PowerPoint - 集積デバイス工学5.ppt MO プロセスフロー ( 復習 集積デバイス工学 ( の構成要素 ( 抵抗と容量 素子分離 -well 形成 ゲート形成 拡散領域形成 絶縁膜とコンタクト形成 l 配線形成 6 7 センター藤野毅 MO 領域 MO 領域 MO プロセスフロー ( 復習 素子分離 -well 形成 ゲート形成 拡散領域形成 絶縁膜とコンタクト形成 l 配線形成 i 膜 ウエルポリシリコン + 拡散 + 拡散コンタクト

More information

Microsoft Word - 実験テキスト2005.doc

Microsoft Word - 実験テキスト2005.doc 7. プロセスの動特性 [Ⅰ] 目的液レベル制御実験および同シミュレーションを通して ステップ応答に基づくプロセス伝達関数の同定方法 ステップ応答法による PI 制御パラメータの調整方法 および PI 制御パラメータが制御性能へ与える影響について習熟する さらに 制御シミュレーションを通して むだ時間を有するプロセスに対するスミス補償型制御の有効性を確認する [Ⅱ] 理論 2.1 ステップ応答実験による伝達関数の同定

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

JUSE-StatWorks/V5 活用ガイドブック

JUSE-StatWorks/V5 活用ガイドブック 4.6 薄膜金属材料の表面加工 ( 直積法 ) 直積法では, 内側に直交配列表または要因配置計画の M 個の実験, 外側に直交配列表または要因配置計画の N 個の実験をわりつけ, その組み合わせの M N のデータを解析します. 直積法を用いることにより, 内側計画の各列と全ての外側因子との交互作用を求めることができます. よって, 環境条件や使用条件のように制御が難しい ( 水準を指定できない )

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

データ解析

データ解析 データ解析 ( 前期 ) 最小二乗法 向井厚志 005 年度テキスト 0 データ解析 - 最小二乗法 - 目次 第 回 Σ の計算 第 回ヒストグラム 第 3 回平均と標準偏差 6 第 回誤差の伝播 8 第 5 回正規分布 0 第 6 回最尤性原理 第 7 回正規分布の 分布の幅 第 8 回最小二乗法 6 第 9 回最小二乗法の練習 8 第 0 回最小二乗法の推定誤差 0 第 回推定誤差の計算 第

More information

natMg+86Krの反応による生成核からのβ線の測定とGEANTによるシミュレーションとの比較

natMg+86Krの反応による生成核からのβ線の測定とGEANTによるシミュレーションとの比較 nat Mg+ 86 Kr の反応による生成核からの β 線の測定と GEANT によるシミュレーションとの比較 田尻邦彦倉健一朗 下田研究室 目次 実験の目的 nat Mg+ 86 Kr 生成核からの β 線の測定 @RCNP 実験方法 実験結果 GEANT によるシミュレーション 解析 結果 まとめ 今後の課題 実験の目的 偏極した中性子過剰 Na アイソトープの β-γ-γ 同時測定実験を TRIUMF

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

TC74HC4017AP/AF

TC74HC4017AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4017AP, TC74HC4017AF Decade Counter/Divider TC74HC4017A は シリコンゲート CMOS 技術を用いた高速 10 進ジョンソンカウンタです CMOS の特長である低い消費電力で 等価な LSTTL に匹敵する高速動作を実現できます CK あるいは CE 入力に印加されたカウントパルスの数により

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

Microsoft PowerPoint - 4.1I-V特性.pptx

Microsoft PowerPoint - 4.1I-V特性.pptx 4.1 I-V 特性 MOSFET 特性とモデル 1 物理レベルの設計 第 3 章までに システム~ トランジスタレベルまでの設計の概要を学んだが 製造するためには さらに物理的パラメータ ( 寸法など ) が必要 物理的パラメータの決定には トランジスタの特性を理解する必要がある ゲート内の配線の太さ = 最小加工寸法 物理的パラメータの例 電源配線の太さ = 電源ラインに接続されるゲート数 (

More information

HD74LS74A データシート

HD74LS74A データシート ual -typ Positiv dg-triggrd Flip-Flops (with Prst and Clar) データシート は, ダイレクトクリア, ダイレクトプリセットおよびコンプリメンタリ出力, によって構成されており, 入力データは, クロックパルスの立ち上がりエッジで出力に伝達されます 特長 発注型名 R04S002JJ0300 (Prvious: RJJ030560-0200)

More information

TC74HC4511AP/AF

TC74HC4511AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4511AP,TC74HC4511AF BCD-to-7 Segment Latch/Decoder/Driver TC74HC4511A は シリコンゲート CMOS 技術を用いた高速 CMOS ラッチ付き 7 セグメント デコーダ ドライバです 標準 CMOS の 4511B と同一ピン接続 同一ファンクションですが 高速ラッチ

More information

講義「○○○○」

講義「○○○○」 講義 信頼度の推定と立証 内容. 点推定と区間推定. 指数分布の点推定 区間推定 3. 指数分布 正規分布の信頼度推定 担当 : 倉敷哲生 ( ビジネスエンジニアリング専攻 ) 統計的推測 標本から得られる情報を基に 母集団に関する結論の導出が目的 測定値 x x x 3 : x 母集団 (populaio) 母集団の特性値 統計的推測 標本 (sample) 標本の特性値 分布のパラメータ ( 母数

More information

TC74HC107AP/AF

TC74HC107AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

TC4017BP/BF

TC4017BP/BF 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information