VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

Similar documents
starc_verilog_hdl pptx

加藤先生.indd

論理設計の基礎

SICE東北支部研究集会資料(2012年)

P361

VHDL VHDL VHDL i

Unconventional HDL Programming ( version) 1

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

VHDL

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli

2-工業会活動.indd

1


2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

MAAB でのプレゼンテーション に関する提案

IPSJ SIG Technical Report Vol.2012-EMB-26 No /9/10 1,a) 1,b) 1,c) 1,d) 1,e) 1,f) 1,g) 5 3D HILS HILS An Integrated Driving Simulator for Interdi

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

Vol.53 No (Mar. 2012) 1, 1,a) 1, 2 1 1, , Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a

pamphlet_h1

5005-toku3.indd

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

AD8212: 高電圧の電流シャント・モニタ

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

スライド 1

パナソニック技報

LM35 高精度・摂氏直読温度センサIC

fiš„v8.dvi

Lyra X Y X Y ivis Designer Lyra ivisdesigner Lyra ivisdesigner 2 ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) (1) (2) (3) (4) (5) Iv Studio [8] 3 (5) (4) (1) (

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi

P2P P2P peer peer P2P peer P2P peer P2P i

/ , ,908 4,196 2, ,842 38, / / 2 33 /

コンピューターとつなぐ

修士論文

JAB RL504:201 JAB NOTE 4 2 January 25, A B

MLA8取扱説明書

gengo.dvi

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

スライド 1

2 ( 3 2 ) DoE 2) ) (D ) y (x 1, x 2, xj,, x D ) 3 N Q i i l j X i, j 1 4 (x y ) 4 DoE 4 1σ ( 4 ) 3 4 ( ) ( )

main.dvi

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3)

F9222L_Datasheet.pdf

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS ) GPS Global Positioning System

IPSJ SIG Technical Report Vol.2015-MUS-107 No /5/23 HARK-Binaural Raspberry Pi 2 1,a) ( ) HARK 2 HARK-Binaural A/D Raspberry Pi 2 1.

八戸工大ドリームゲート16p.indd

analog-control-mod : 2007/2/4(8:44) 2 E8 P M () r e K P ( ) T I u K M T M K D E8.: DC PID K D E8. (E8.) P M () E8.2 K P D () ( T ) (E8.2) K M T M K, T

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric


デジタルICの電源ノイズ対策・デカップリング

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO

本文6(599) (Page 601)


高周波同軸コネクタ

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori

2

PBS: Post-Boost Stage JAXA

9.プレゼン資料(小泉)R1

まえがきIntroduction

ばらつき抑制のための確率最適制御

平成26年度 学生要覧

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

DEIM Forum 2010 D Development of a La

2

Hansen 1 2, Skinner 5, Augustinus 6, Harvey 7 Windle 8 Pels 9 1 Skinner 5 Augustinus 6 Pels 9 NL Harvey ML 11 NL

Study on Throw Accuracy for Baseball Pitching Machine with Roller (Study of Seam of Ball and Roller) Shinobu SAKAI*5, Juhachi ODA, Kengo KAWATA and Yu

36 581/2 2012

ES-D400/ES-D200

7VGA 7VGA User Interface Scan Speed

Fig. 1 Schematic construction of a PWS vehicle Fig. 2 Main power circuit of an inverter system for two motors drive

PowerPoint

Journal of the Combustion Society of Japan Vol.51 No.155 (2009) FEATURE Clarification of Engine Combustion and the Evolution デ

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

,, X Handy Type X Ray Fluorescence Element Analyzer Jun Kawai Department of Materials Science and Engineering, Kyoto University Handy X r

2. IEC61508 ISO WD IEC6150 SIL( Safety Integrity Level ) ISO WD2626 ASIL( Automotive Safety Integrity Level ) SIL/ASIL (tolerable risk) (Residu

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

untitled

2

2

EPSON ES-D200 パソコンでのスキャンガイド

第 55 回自動制御連合講演会 2012 年 11 月 17 日,18 日京都大学 1K403 ( ) Interpolation for the Gas Source Detection using the Parameter Estimation in a Sensor Network S. T

2010 T1L ( ) T1L T1L I ,4,5 35 T1L II ,4,5 36 T1L T1L T1L1

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

A Responsive Processor for Parallel/Distributed Real-time Processing

No EV 26 Development of Crash Safety Performance for EV Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEV Hybrid Electric Ve

GT-X830


No.7, (2006) 2 Electronic Funds Transfer as the Foundation of the Monetary Value of Electronic Money - A Study on the JBA 1 Integrated Circuit

橡上野先生訂正2

GT-X980

Infoprint 250 GA

DEIM Forum 2012 E Web Extracting Modification of Objec

AN15880A

IPSJ SIG Technical Report Vol.2013-GN-87 No /3/ Research of a surround-sound field adjustmen system based on loudspeakers arrangement Ak

三石貴志.indd

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat

EtherChannelの設定

MmUm+FopX m Mm+Mop F-Mm(Fop-Mopum)M m+mop MSuS+FX S M S+MOb Fs-Ms(Mobus-Fex)M s+mob Fig. 1 Particle model of single degree of freedom master/ slave sy

Transcription:

VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail: kimitoshi tsuji@mail.toyota.co.jp MBD(MBD) (model description language) (multi-domain system)ad (AD mixed system) (international standard) (encryption specification) JL 0004/14/5304 0307 C 2014 SICE 1. 1), 2) MBD(Model-Based Design or Development) () VHDL-AMS(Very-High Speed IC Hardware Description Language - Analog and Mixed Signal) 3)6) VHDL-AMS 5 1 2 5) 3 4 IEEE 1076.1 IEC 61691-6 3), 4) 5 (implicit) VHDL-AMS 2. VHDL-AMS 2.1 VHDL-AMS f(x(t), ẋ(t),t)=0 (1) t x, ẋ () VHDL-AMS 1 1 (through) (across) 1 VHDL-AMS 2 2 53 4 2014 4 307

2 VHDL-AMS VHDL-AMS VHDL 1 1 [A] [V] () [N] [m] () [N] [m/sec] () [Nm] [rad] () [Nm] [rad/sec] [W] [K] 3 1 2.2 VHDL-AMS IC 1970 ASTAP SPICE 7), 8) 1970 1986 MAST 9) 1990 ASIC VHDL IEEE 1076 1 MAST 1993 IEEE 1076.1 VHDL-AMS 2000 (VDA) (FAT-AK30 10) IEEE P1735 3. 3.1 VHDL-AMS VHDL-AMS 2 () (Branch quantity) (Free quantity) 2 (Interface Quantity) (in) (out) 1 VHDL VHDL-AMS (simultaneous statement) 308 53 4 2014 4

1: library IEEE; 2: use IEEE.electrical systems.all; 3: entity resistor is 4: generic (R : real:=1.0); 5: port (terminal p, n : electrical); 6: end entity resistor; 7: artitecture behav of resistor is 8: quantity v across i through p to n; 9: begin 10: v = = R i; 11: end architecture behav; (a) VHDL-AMS 1: entity sum is 2: generic (k1 : real:=1.0); 3: generic (k2 : real:=1.0); 4: port (terminal in1, in2 : in real); 5: port (terminal output : out real); 6: end entity sum; 7: artitecture behav of sum is 8: begin 9: output = = k1*in1+k2*in2; 10: end architecture behav; 2 (b) VHDL-AMS VHDL-AMS == 3.2 VHDL-AMS 2 (a) 2 V A 3 6 entity generic R 1Ω port 2 (terminal) p, n (nature) 7 11 architecture quantity v i p n v==r i; == L[H] dot v==l i dot ; dot integ, above (attribute) VHDL-AMS 6) 2(b) 6 entity 2 in1, in2 output 9 output==k1*in1+k2*in2; VHDL-AMS VHDL 3) 4. EPS 4.1 EPS VHDL-AMS EPS EPS(Electric Power Steering) () () 3 EPS 4 4.2 3 EPS 53 4 2014 4 309

4 5 5 (a) (b) 4.3 6 (a) (b) DC-DC 7 12V DC-DC 7 30Ah 12V 8 R 01,R 02 R 1,R 2 C 1,C 2 0V 30Ah R 01 =12.56 mωr 1 75.8mΩC 1 588 F R 02 =9.3mΩR 2 11.2mΩ 1.0mΩ C 1 129 FE 0 =12.943 V 4.4 ECU 310 53 4 2014 4

6 7 12V (a) (b) 9 8 DLL (Dynamic Link Library) 5. 4 EPS Load 80D26 55Ah 30Ah 2 9 (a) 53 4 2014 4 311

(a) U 11 1 (30Ah) (b) DCDC 12 EPS (c) (d) 10 9(b) 10 12 10 (a) U DCDC 10 (b) 10 (c) 10 (d) 1 1.14 V 11 1 12 2 EPS VHDL-AMS EPS EPS 100A 50A 12 V 1 1.14 V EPS 200 mv 55Ah 60Ah30Ah 2 12 V 1 11 100 mv 1 EPS 705J 269J38% 6. 312 53 4 2014 4

() VHDL-AMS VHDL-AMS VHDL-AMS EPS 2013 12 24 1 T. Kato, K. Tsuji, and S. Shimada: Requirements to models of automotive system development for future model-based design, 7th IFAC Symposium on Advances in Automotive Control (2013) 2 K. Tsuji and T. Kato: The VHDL-AMS hv full vehicle simulation model for the concept planning of power performance and fuel economy estimation results, 7th IFAC Symposium on Advances in Automotive Control (2013) 3 VHDL Language Reference Manual, IEEE Standard, 1076/1987 ( 1076-2008) 4 VHDL Analog and Mixed-Signal Extensions, IEEE Standard 1076.1-1999, IEC 61691-6 ( 2009) 5 E. Christen and K. Bakalar: VHDL-AMS - A hardware description language for analog and mixed-signal applications, IEEE Trans. Circuit and Systems II: Analog and Digital Signal Processing, 46 10, 1263/1272 (1999) 6 P.J. Ashenden, G.D. Peterson, and D.A. Teegarden: The System Designer s Guide to VHDL-AMS, Morgan Kaufmann Publishers (2003) 7 G.D. Hachtel, R. Brayton, and F.G. Gustavson: The sparse tableau approach to network analysis and design, IEEE Trans. Circuit Theory, CT-18, 101/113 (1971) 8 C. H. Ho, A. E. Ruehli, and P. A. Brennan: The Modified nodal approach to network analysis, IEEE Trans. Circuit and Systems, CAS-22, 504/509 (1975) 9 H.A. Mantooth and M. Fiegenbaum: Modeling with an Analog Hardware Description Language, Kluwer Academic Publishers (1995) 10 FAT-AK30 (Working Group: Simulation of Mixed Systems with VHDL-AMS); http://fat-ak30.eas.iis.fraunhofer.de /index en.html 1981 3 4 90 4 8 IREQ 9 92 3 MIT LEES 1983 4 88 9 2009 2 11 9 53 4 2014 4 313