kuchi_robo.c - TeraPad

Size: px
Start display at page:

Download "kuchi_robo.c - TeraPad"

Transcription

1 #include <PIC.h> #include <Delays.h> #include <maths.h> DELA # config 0x3F50 コンフィギュレーション ビットの設定 62 bit4=0( コード プロテクト =OFF),bit3=0( パワーアップ タイマ =OFF) bit2=0( ウォッチドッグ タイマ =OFF),bit1,0='1','0'(HS 発振 ) 00=LP,01=XT,10=HS,11=RC オシレター #define ADC_START ADCON0 =(1<<GO) AD On #define TST_ADC_NOT_DONE (ADCON0&(1<<GO)) AD Off #define duty_center 412 #define PORTBIT(adr, bit) ((unsigned)(&adr)*8+(bit)) static bit PORTBIT(PORTB, 2); static bit PORTBIT(PORTB, 1); static bit PORTBIT(PORTB, 0); static bit PORTBIT(PORTB, 3); static bit PORTBIT(PORTB, 4); static bit PORTBIT(PORTB, 5); static bit PORTBIT(PORTB, 6); static bit PORTBIT(PORTB, 7); static bit PORTBIT(PORTA, 0); static bit PORTBIT(PORTA, 1); static bit PORTBIT(PORTA, 2); static bit PORTBIT(PORTA, 3); static bit PORTBIT(PORTA, 4); static bit PORTBIT(PORTA, 6); static bit PORTBIT(PORTA, 7); void main(void); void io_init(void); Initialise display to I/O int ADConversion(unsigned char); unsigned int measurement(unsigned short); void pwm(unsigned char); void set_duty(unsigned int); void motordrv(unsigned char, unsigned char, unsigned char, unsigned char ); void wait_min(unsigned char); void kubi_furi(unsigned char); void LED_drv(unsigned char,unsigned char, unsigned char, unsigned char, unsigned int ); unsigned char kubifuri(unsigned char); void udefuru(unsigned char); unsigned char kyori(void); unsigned char jintai(void); unsigned int rand_cal(unsigned char); Main program void main(void) - 1 -

2 Temperature humidity static unsigned char lp, lop, lr_f, kabe_fg; static unsigned int kabe_tm1, kabe_tm2,no_move_cnu, rnd_fg; io_init(); pwm(0xf4); set_duty(160); AMU_MOTOR=1; RLED=0; LLED=0; AI_RIGHT=1; AI_LEFT=1; KYORI_PW=1; PWM=On:1/1 180 / duty Center=412 aum motor off mentama LED off mentama LED off kubifuri motor R_off kubifuri motor L_off kyori sens pw off Stert Key input do LED_drv(1, 1, 0, 0, 50); while(key==1); RLED=1; LED on LLED=1; LED on kubi furi LED_drv(0, 0, 0, 0, 0); LED ON kubifuri(1); ude kuchi mode udefuru(4); while(1) kabe_fg=0; LED_drv(1, 1, 1, 1, 0); if(jintai()!=0) jmp1: motordrv(0, 1, 1, 0); motor st ---Move time loop ---- for(lp=0;lp<70;lp++) zensin time loop if(kyori()!=0) kyor chak kabe_fg=1; motordrv(0, 0, 0, 0); motor sp goto jmp; jmp: lr_f=0; motordrv(0, 0, 0, 0); motor sp LED_drv(0, 0, 0, 0, 0); LED off if(kabe_fg!=0) lr_f=1; kabe_tm1=4; kabe_tm2=1000; else lr_f=kubifuri(1); udefuru(4); - 2 -

3 kabe_tm1=2; kabe_tm2=600; no_move_cnu=0; 5Min Loop fg clear jintai right/left houkou chak if(lr_f==1) motordrv(1, 0, 0, 1); motor bak wait_min(kabe_tm1); motordrv(0, 0, 0, 0); motor bak move time motor sp motordrv(0, 1, 0, 1); motor L Wait(kabe_tm2); motor L move time motordrv(0, 0, 0, 0); motor sp lr_f=0; wait_min(1); meka jintai sena wait time if(lr_f==2) motordrv(1, 0, 0, 1); motor bak wait_min(kabe_tm1); motor bak move time motordrv(0, 0, 0, 0); motor sp motordrv(1, 0, 1, 0); motor R Wait(kabe_tm2); motor R move time motordrv(0, 0, 0, 0); motor sp lr_f=0; wait_min(1); meka jintai sena wait time no moushon move time 9min----- if(no_move_cnu++>180) 9Min no_move_cnu=0; 9Min Loop fg clear LED_drv(1, 1, 0, 0, 200); LED_drv(1, 1, 1, 1, 0); udefuru(5); /* do AMU_MOTOR=0; ON for(lp=0;lp<20;lp++) zensin time loop if(jintai()!=0) lop=99; kyor chak AMU_MOTOR=1; off while(lop++>3) */ kabe_tm1=1; kabe_tm2=600; lr_f=kubifuri(1); no moushon LED move time 120S----- if((no_move_cnu%40)==0) lop=0; kabe_fg=0; LED_drv(1, 0, 0, 1, 50); LED off rnd_fg=rand_cal(2); if(rnd_fg<6) do AMU_MOTOR=0; for(lp=0;lp<rnd_fg;lp++) ON zensin time loop - 3 -

4 if(jintai()!=0)amu_motor=1; goto jmp1; AMU_MOTOR=1; off while(lop++>3) kyor chak Randam unsigned int rand_cal(unsigned char select) unsigned int ca; switch(select) case 1: vre_lop: ca=rand()%400+1; rand if (ca>400) ca=ca%400+1; if (ca<160) goto vre_lop; case 2: ca=(rand()%10)+1; rand 0-10 if(ca>10) ca=ca%10+1; return ca; jintai chaku unsigned char jintai(void) unsigned char yes_ng, lp; WORD ad; yes_ng=0; for(lp=0;lp<15;lp++) do ad=measurement(0); while(ad<150); Wait(100); min=10 max=20 Low lebl chak to 15 Loop (0.7V<ad) ad=measurement(0); if(ad>900) yes_ng=1;led_drv(1, 1, 0, 0, 200); jintai chak OK return yes_ng; - 4 -

5 kyori chaku unsigned char kyori(void) unsigned char yes_ng; WORD ad; yes_ng=0; KYORI_PW=0; kyori pwr on Wait(100); ad=measurement(1); if(ad>300)yes_ng=1;led_drv(1, 0, 0, 1, 200); kyori chak OK 1.45V KYORI_PW=1; kyori off return yes_ng; Ude furu Time 1=1S void udefuru(unsigned char tim) AMU_MOTOR=0; wait_min(tim); AMU_MOTOR=1; Kubifuri Time (S=0 L=1 R=2) unsigned char unsigned char lop,l_rf; ON off kubifuri(unsigned char kaisu) l_rf=0; for(lop=0;lop<kaisu;lop++) kubi_furi(0); L kaiten LED_drv(1, 1, 1, 1, 0); LED on wait_min(1); meka jintai sena wait time if(jintai()!=0)l_rf=1; kubi_furi(1); LED_drv(0, 0, 0, 0, 0); LED off kubi_furi(1); R kaiten LED_drv(1, 1, 1, 1, 0); LED on wait_min(1); meka jintai sena wait time if(jintai()!=0)l_rf=2; kubi_furi(2); LED_drv(0, 0, 0, 0, 0); LED off return l_rf; LED R=1,0,1,0 L=0,1,0,1 R.F=1,0,0,0 L.F=0,1,0,0-5 -

6 void LED_drv(unsigned char right1,unsigned char left1, unsigned char right2, unsigned char left2, unsigned int pause) unsigned char lp; for(lp=0;lp<4;lp++) RLED=right1; LLED=left1; Wait(pause); RLED=right2; LLED=left2; Wait(pause); 首振り 0=Right 1=left 2=senta void kubi_furi(unsigned char channel) switch(channel) case 0: AI_RIGHT=0; R/on Wait(1000); AI_RIGHT=1; R/off case 1: AI_LEFT=0; L/on Wait(800); AI_LEFT=1; L/off case 2: AI_RIGHT=0; R/on do RLED=1; LLED=1; while(ai_ichi!=1); AI_RIGHT=1; AI_motor R_off AI_LEFT=1; AI_motor L_off MOTOR DIVE IN1 IN2 PWM MODE (IC TB6552FN) H H H/L Short brake L H H/L cw/ccw H L H/L ccw/cw L L H/L stop H/L H/L H/L standby - 6 -

7 void motordrv(unsigned char inr_1, unsigned char inr_2, unsigned char inl_1, unsigned char inl_2) MOTOR_IN1R=inr_1;MOTOR_IN2R=inr_2; MOTOR_IN1L=inl_1;MOTOR_IN2L=inl_2; Wait one Time min 1=1S Max(255) void wait_min(unsigned char tm) unsigned char c; for(c=0;c<tm;c++) Wait(1000); A/D 変換 channel No / 20 Loop unsigned int measurement(unsigned short channel) unsigned int ad, cnt; ad = 0; for (cnt = 0; cnt < 20; cnt++) ad += ADConversion(channel); return (ad/20); A/D 変換 Set(0-6) 0=RA0,1=RA1,2=RA2,3=RA3,4=RA4,5=RB6,6=RB7(AN0:6) int ADConversion(unsigned char channel) WORD adval; channel&=0x0f; ADCON0=0xC1 (channel<<3); A/D module RC oscillator),a/d On truncate channel to 4 bits 0xC1=FRC (clock derived from the internal ADC_START; AD 変換スタート while(tst_adc_not_done) adval = (WORD)ADRESH << 8; adval = adval ADRESL; AD 変換ストップ upper8ビットを読み込む lower8ビットを読み込んで16ビットに合成する return adval; 初期処理この順番でないと動作しない - 7 -

8 void io_init(void) A/Dコンバータのリファレンス初期設定 ADCON1=0x80; 左詰,0x80=VRef+:VDD(5v) VRef-:VSS / 0xA0=VRef+:VDD(2.5-5v) VRef-:VSS I/O Mode Analog=1 Digital=0 Bit 0:7 (16F88 Onley アナログ入力の設定 ) ANSEL=0x3; RA0,1のみアナログ入力他はデジタル (X ) F88 内蔵オシレータの設定 ---- OSCCON=0x60; 内蔵オシレータの周波数を4MHzに設定 / 0x70=8MHz Comparator CMCON=0x7; Comparators Off PORTA=0; ポート (A)CLEAR PORTB=0; ポート (B)CLEAR TRISA=0x83; A ポート TRISB=0x20; B ポート PWM 周期設定 PWM 周期 = [(PR2) + 1] * 4 * 0.125uS(8MHz)or[0.25uS(4MHz)] *(TMR2 プリースケラー値 ) [49+1]*4*0.125*1=25uS 1/25uS = *1000*1000=40,000Hz PWM 周期数は 1/[PWM 周期 ] と定義されます On=0xf4 Off= void pwm(unsigned char tsw) PR2=199; CCP タイマー 2 周期レジスタにデータを書く (5KHz) 199 T2CON=tsw; 1:1,1:4,1:16 タイマープリースケラ タイマー =ON Pwm_Change_DutyEx duty には 0 から 1024 の値を入れる / duty_center(412) CCP1CON CCPR1L にデユーテイサイクルを指定する PWM デユーテイサイクル =(CCPR1L:CCP1CON<5:4>)*Tosc*(TMR2 プリースケラー ) void set_duty(unsigned int duty) unsigned int temp1; unsigned char temp2; temp1 = duty; temp1 >>= 2; temp2 = temp1 & 0xff; CCPR1L = temp2; temp1 = duty; - 8 -

9 temp1 <<= 4; temp2 = temp1 0x0c; CCP1CON = temp2; <Motor IC TB6552FN Robt Kuchpaku Proguram> 2009/10/28> コンパイラー :FED PIC Compiler Version 基板性能 センサー接続数 : アナログ (IN)=4ポート [RA0,RA1,RA2,RA3] (0-4092) I/O : デジタル (OUT)=1ポート [RA6] 補助ポート (in/out)=2ポート[ra4,rb5] CPU :16F88 (4MHz)4MHでないと動作しない 電圧範囲モーター電圧 :4.3V :4.8-6V ピンアサイン PIC16F8X 液晶モジュール () 内はピン番号 RA Analog 1 / 赤外線センサー RA Analog 2 / 距離センサー RA Analog 3 / 目玉モーター右回転 RA Analog 4 / 目玉モーター左回転 RA I/O / 距離センサー電源制御 RA I/O in only RA I/O デジタル / 腕 口モーター RA I/O / Key inp RB I/O / PWM RB I/O / モーター出力右 RB I/O / RB I/O / モーター出力左 RB I/O / RB I/O / 目玉モーター位置検出 RB I/O / LED 出力右目玉 RB I/O / LED 出力左目玉 PIC16F88 用にコーディングしてありますが 一部改造すればそれ以外のデバイスでも使用可能です - 9 -

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

16F877robo.c - TeraPad

16F877robo.c - TeraPad #include プロセッサーヘッターファイル #include LCD #include DELA / Wait( ) #include 文字操作 / fnprintf #include Randam 数値発生 #include データーライブラリィー /

More information

untitled

untitled 1050259 16 2 22 1 1 DC DC 2 20 TRIZ PIC PIC MPLAB IDE PIC16F84A PIC16F876 DC 3 20 20 PIC 4 16*32 24*72 ( 1-1) 5 ON,OFF 1-2 & 10ms 6 7 2-1 8 2 PWM Microchip Technology PIC 9 1 H PIC 10 PID 90g PWM P I PWM

More information

3 4 PIC

3 4 PIC PIC 16 2 9 3 4 PIC 5 7 4-1 4-2 4-3 4-4 4-5 4-6 4-7 4-8 4-9 7 7 7 0 7 0 7 11 13 14 15 19 5-1 5-2 5-3 19 19 19 5-4 20 5-5 20 5-6 22 5-7 23 5-8 25 5-9 26 5-10 27 29 6-1 29 6-2 29 6-3 29 1 6-4 IC 30 6-5 31

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

PIC

PIC PIC - 1 ページ 2 週目 UBW を使用してみる 2010 年 5 月 23 日 15:28 前回の Gainer mini はあくまでも PC 側にプログラムがあり PIC は IO ボックス的な使用しかできなかった 入出力デバイスとして PIC を使う場合は 簡易で便利であるが それ以上の事 (PC なしでの動作 PC と対等の動作 ) は出来ない 今週からは 本来の PIC としての使い方を学ぶ

More information

1 1 TA, ,9 1. ( 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test)

1 1 TA, ,9 1. (  2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) 1 1 TA, 20 10 6,9 1. (http://www.cyb.mei.titech.ac.jp/2008ss2/main.htm) 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) H src c startup ncrt0 ss2.a30 sect30 ss2.inc 1 1 /

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

Microsoft Word - マイコンを用いた信号# doc

Microsoft Word - マイコンを用いた信号# doc 1. C 2. 2.1 1980 Z80 PIC AVR SuperH H8 PICPeripheral Interface Controller 20MHz AD RA2 RA3 RA4 CLR VSS RB0 RB1 RB2 RB3 1 2 3 4 5 6 7 8 9 PIC16F84A-20P 0315025 18 RA1 17 RA0 16 CLK1 15 CLK2 14 VDD 13 RB7

More information

(search: ) [1] ( ) 2 (linear search) (sequential search) 1

(search: ) [1] ( ) 2 (linear search) (sequential search) 1 2005 11 14 1 1.1 2 1.2 (search:) [1] () 2 (linear search) (sequential search) 1 2.1 2.1.1 List 2-1(p.37) 1 1 13 n

More information

スライド 1

スライド 1 6.LED( 発光ダイオード ) の制御を学ぼう 本稿の Web ページ http://www.cmplx.cse.nagoya-u.ac.jp/~furuhashi/education/pic/index.html 1 5V R 4 SW 1 R 3 R 2 SW 2 SW 3 PIC16F84A 1 RA2 RA1 18 2 RA3 RA0 17 3 RA4 OSC1 16 4 MCLR OSC2

More information

Arduino UNO IS Report No. Report Medical Information System Laboratory

Arduino UNO IS Report No. Report Medical Information System Laboratory Arduino UNO 2015 2 25 IS Report No. Report Medical Information System Laboratory Abstract ( ) Arduino / Arduino Bluetooth Bluetooth : Arduino Arduino UNO Arduino IDE micro computer LED 1............................

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

[ 1] 1 Hello World!! 1 #include <s t d i o. h> 2 3 int main ( ) { 4 5 p r i n t f ( H e l l o World!! \ n ) ; 6 7 return 0 ; 8 } 1:

[ 1] 1 Hello World!! 1 #include <s t d i o. h> 2 3 int main ( ) { 4 5 p r i n t f ( H e l l o World!! \ n ) ; 6 7 return 0 ; 8 } 1: 005 9 7 1 1.1 1 Hello World!! 5 p r i n t f ( H e l l o World!! \ n ) ; 7 return 0 ; 8 } 1: 1 [ ] Hello World!! from Akita National College of Technology. 1 : 5 p r i n t f ( H e l l o World!! \ n ) ;

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code // USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 2017.03.16 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code void main(void) /* IO ピン初期設定 */ ANSEL = 0x00; // 0b10000000

More information

BSF PORTA BCF PORTA MOVLWB MOVLWB MOVLWB MOVLWB , E=1, E=0 8 ビット 行表示 ディスプレイ制御 表示クリア エントリーモード CALLDSP MAIN BCF PORTA,3 R

BSF PORTA BCF PORTA MOVLWB MOVLWB MOVLWB MOVLWB , E=1, E=0 8 ビット 行表示 ディスプレイ制御 表示クリア エントリーモード CALLDSP MAIN BCF PORTA,3 R counter.asm 011 /9/3-6 A/D converter INCLUDE "P16F819.INC" LIST =16F819 P コンフィグ クロック信号 HS パワーアップタイマのみオン CONFIG _HS_OSC& _WDT_OFF& _PWRTE _ON& _BODEN _OFF& _LVP_OFF& ファイルレジスタ定義 N EQU 0x0 N1 EQU 0x1 N EQU

More information

Microsoft Word - 本文.doc

Microsoft Word - 本文.doc - 内蔵コンパイラ ForCy で学ぶ - 組み込みプログラミング ForCy USB 2.0 初版 2007/08/03 第 2 版 2009/02/03 有限会社リカージョン - 内蔵コンパイラ ForCy で学ぶ - 組み込みプログラミング FORTH C + 4 / :ForCy i ForCy-USB ForCy-USB USB ForCy C - 2 - ForCy-USB Atmel

More information

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018 工学ゼミ Ⅲ 安全 環境活動に役立つ LEDイルミネーションの製作 第 1 回 1. 概要 3~5 名の学生グループで安全 環境活動に役立つ LED イルミネーションを作製する 作品のデザイン画や部品リスト 回路図 動作フロー図等は事前に作成し 計画的に作業を行うことが求められる 2. 達成すべき目標 作品に係る資料を事前にまとめ それに基づいて製作が行える 集団の中で 自身の知識 技術を積極的に応用しながら

More information

Microsoft Word - DRSP-Serial Operation Manual_TETRA-DS IV__V1.2_japan.doc

Microsoft Word - DRSP-Serial Operation Manual_TETRA-DS IV__V1.2_japan.doc DRSP SP-Serial Serial Operation Manual TM ) (for TETRA-DS IV TM Linux Version Version 1.2 2012. 01. 目次 (Table of Contents) Chapter 1. 1 Drive Board Serial Reference ----------------------------- 3 Chapter

More information

#include "uart.h" // #define RTC8583 0xA0 // RTC address #define CTRL 0x00 // RTC register notation START/STOP #defin

#include uart.h // #define RTC8583 0xA0 // RTC address #define CTRL 0x00 // RTC register notation START/STOP #defin ****************** RTC clock with thermo & moisture meter by PIC12F1829 LCD display and serial output with internal clock By nobcha all right reserved Reffer to 05/22/2014 PIC16F1827+RTC8564NB + SHT-11

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information

thesis.dvi

thesis.dvi H8 e041220 2009 2 Copyright c 2009 by Kentarou Nagashima c 2009 Kentarou Nagashima All rights reserved , H8.,,,..,.,., AKI-H8/3052LAN. OS. OS H8 Write Turbo. H8 C, Cygwin.,., windows. UDP., (TA7279P).,.

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

前回の内容 マイクロコンピュータにおけるプログラミング PC上で作成 コンパイル マイコンに転送 実行 プログラムを用いて外部の装置を動作させる LED turnonled turnoffled LCD printf プログラムを用いて外部の装置の状態を読み取る プッシュスイッチ getpushsw

前回の内容 マイクロコンピュータにおけるプログラミング PC上で作成 コンパイル マイコンに転送 実行 プログラムを用いて外部の装置を動作させる LED turnonled turnoffled LCD printf プログラムを用いて外部の装置の状態を読み取る プッシュスイッチ getpushsw ものづくり基礎工学 情報工学分野 前回の内容 マイクロコンピュータにおけるプログラミング PC上で作成 コンパイル マイコンに転送 実行 プログラムを用いて外部の装置を動作させる LED turnonled turnoffled LCD printf プログラムを用いて外部の装置の状態を読み取る プッシュスイッチ getpushsw ディップスイッチ getdipsw スイッチの状態によって関数の戻り値が変わる

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 午後の部 準受動ロボット作り電子回路編 部品の確認 NO 品 名 個数 1 ブレッドボード 1 2 PIC12F675 1 3 単連式ボリューム B 特性 10kΩ 1 4 低ドロップ電圧レギュレータTA4805S(5V1A) 1 5 電解コンデンサー 47uF16V 1 6 セラミックコンデンサー 0.1uF 1 7 BH-9V-3A 型電池ホルダー BH-9V-3A 1 8 9V 006P 電池

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Taro11-表紙と目次.PDF

Taro11-表紙と目次.PDF 2 1 2 2 3 4 6 1 6 2 7 10 PIC 11 PIC16F84A 14 1 IC 14 2 PIC16F84A 14 3 PIC 16 4 PIC 23 26 PIC 31 33 35 38 38 39 1 PICLite 39 2 my.h 41 43 1 43 2 44 3 45 4 PIC 46 5 PIC 48 49 1 LED 49 2 LED 53 3 LED 55 4

More information

回路 : Vdd GND 回路図と呼べるようなものではありません オシレータは外部 ( セラミック発振子 ) なので GP4 と GP5 は使えません 四角の枠内はモジュールなので ここから VDD GND TX RX の4 本をつなぐだけです 測定端子 (GP0) は 1MΩの抵抗と 2MΩの半固

回路 : Vdd GND 回路図と呼べるようなものではありません オシレータは外部 ( セラミック発振子 ) なので GP4 と GP5 は使えません 四角の枠内はモジュールなので ここから VDD GND TX RX の4 本をつなぐだけです 測定端子 (GP0) は 1MΩの抵抗と 2MΩの半固 ペン型オシロスコープ ( もどき ) の作り方 本書は PC 接続タイプの簡易 ペン型オシロスコープ を自作する方のための解説書です 開発時間 経費を極力おさえたため 通常の電子回路やファームウェアの作成方法と異なることがあります 動作不具合 故障などは保証いたしません また 本機を接続 ソフトウェアを使用したことによるパソコンの故障等の一切の責務は当方にはありません 自己責任にてご利用ください と

More information

‚æ4›ñ

‚æ4›ñ ( ) ( ) ( ) A B C D E F G H I J K L M N O P Q R S T U V W X Y Z a b c d e f g h i j k l m n o p q r s t u v w x y z 0 1 2 3 4 5 6 7 8 9 (OUS) 9 26 1 / 28 ( ) ( ) ( ) A B C D Z a b c d z 0 1 2 9 (OUS) 9

More information

C言語によるアルゴリズムとデータ構造

C言語によるアルゴリズムとデータ構造 Algorithms and Data Structures in C 4 algorithm List - /* */ #include List - int main(void) { int a, b, c; int max; /* */ Ÿ 3Ÿ 2Ÿ 3 printf(""); printf(""); printf(""); scanf("%d", &a); scanf("%d",

More information

2008 DS T050049

2008 DS T050049 DS T050049. PSP DS DS DS RPG DS OS Windows XP DevkiPro OS DS CPU ARM devkitarm MSYS MinGW MSYS MinGW Unix OS C++ C++ make nds nds DS DS micro SD Card nds DS DS DS nds C Java C++ nds nds DS 2008 DS T050049

More information

3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3

3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3 1 ROM 3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3 000 001 EEPROM 3FF 14bit1024 A B 00 INDIRECT ADDR 80 INDIRECT ADDR 01 TMR0 81 OPTION 02 PCL 82 PCL 03 STATUS 83 STATUS 04 FSR 84

More information

1 4 8 (DC) PWM.

1 4 8 (DC) PWM. 4 8 (DC) Web http://www.mybook-pub-site.sakura.ne.jp/motor Drive note/index.html 1 4 8 (DC) 2 4.1.................................... 2 4.2............................. 6 4.3............... 7 4.4 1.......................

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 磁気コンパスの試作 ~ データ送信の無線化 ~ 液晶表示 電源 5V 位 ICSP PICKit3 PIC:16F1827 液晶表示器 ACM1602NI-FLW-FBW-M01 液晶表示器 AQM0802A-RN-GBW PIC16F1827 完成版 変更点 :2015.1.23 2015.1.30 倒立振子デモ 2015.1.22 倒立振子, グラフィッデモ 2014.12.18 グラフィックデモ

More information

,, ( ) 5 ma ( ) 5V V 5 6 A B C D E F G H I J , LED LED, LED, 7 LED,, 7 LED ( ) V LED VCC 5V 7 LED VCC f g f a g b a b c e d e d c dp dp VCC (

,, ( ) 5 ma ( ) 5V V 5 6 A B C D E F G H I J , LED LED, LED, 7 LED,, 7 LED ( ) V LED VCC 5V 7 LED VCC f g f a g b a b c e d e d c dp dp VCC ( [] PIC 8 (/6, 6/ ) (/, 6/) (5/7, 6/8) PIC PIC PIC (5/, 6/5) V 5 (5/, 7/ ) V LED ( LED ( /, 6/) V V V ( 5/8, 6/9) V ( 5/5, 6/6) ( V 5/8, 7/ 9) V % 6%, LED, LED /7, 6/ 5) 7,, LED, LED LED ,, ( ) 5 ma ( )

More information

2

2 WJ-HD150 Digital Disk Recorder WJ-HD150 2 3 q w e r t y u 4 5 6 7 8 9 10 11 12 13 14 15 16 q w SIGNAL GND AC IN 17 SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY FRIDAY SATURDAY DAILY Program 1 Event No.1 Event

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information

Taro-テキスト.jtd

Taro-テキスト.jtd 付録 1 実習テキスト Arduinoスケッチプログラミング Arduinoスケッチプログラミング Arduinoスケッチプログラミング 1. 的 作成 : 米田文彦 Arduino アルドゥイーノ の基本構成 機能を理解し 簡単なスケッチ * を行える能力を身につける また パソコンの標準的なキーボードやマウスといった入出力デバイスではなく センサやモータのような物理的な情報を扱える入出力デバイスを用いた制御をすることで

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

PowerPoint Presentation

PowerPoint Presentation p.130 p.198 p.208 2 double weight[num]; double min, max; min = max = weight[0]; for( i= 1; i i < NUM; i++ ) ) if if ( weight[i] > max ) max = weight[i]: if if ( weight[i] < min ) min = weight[i]: weight

More information

Microsoft Word - SU1204教本(Driver)原稿.docx

Microsoft Word - SU1204教本(Driver)原稿.docx void setup(){ pinmode(13, OUTPUT); //13 void loop(){ digitalwrite(13, HIGH); //13 HIGH delay(500); digitalwrite(13, LOW); //13 LOW delay(500); setup pinmode loop delay C M B void setup(){ pinmode(12,output);

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 1. 1.1. 1.2. 1 PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 2. 2.1. 2 1 2 C a b N: PC BC c 3C ac b 3 4 a F7 b Y c 6 5 a ctrl+f5) 4 2.2. main 2.3. main 2.4. 3 4 5 6 7 printf printf

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 02-03 回回路構築 /LEDを用いた出力制御担当 : 植村 導入 講義内容 本講義では携帯電話や携帯オーディオプレイヤー 各種ロボットなどの電子機器 情報機器に用いられるマイコン制御技術を 実習を通して理解する PIC: Peripheral Interface Controller 直訳 : 周辺装置インタフェースコントローラー マイクロコンピュータ CPUやメモリをワンチップ化した小型のコンピュータパソコンのような汎用性はないが低コスト

More information

3. スイッチ設定 ( 表 3) モジュール SW4 SW5 C1 OFF 下 (GND) C2 OFF 下 (GND) C3 OFF 下 (GND) C4 OFF 下 (GND) C5 OFF 上 (R/-W) C6 ON 下 (GND) 使用するモジュールに応じて, スイッチを切り換えて下さい.

3. スイッチ設定 ( 表 3) モジュール SW4 SW5 C1 OFF 下 (GND) C2 OFF 下 (GND) C3 OFF 下 (GND) C4 OFF 下 (GND) C5 OFF 上 (R/-W) C6 ON 下 (GND) 使用するモジュールに応じて, スイッチを切り換えて下さい. キャラクタ LCD を制御 (C 言語 ) 対象 :16 文字 2 行 (SC1602BS*B) 20 文字 4 行 (SC2004CS*B) 表 1 接続 (Bit) キャラジェネ (CGRAM) 点滅 (BLINK) BUSY フラグ LCD 電源 (ON/OFF) (BF) SC1602_C1 SC2004_C1 8 x x x x SC1602_C2 SC2004_C2 4 x x x x

More information

TH-42PAS10 TH-37PAS10 TQBA0286

TH-42PAS10 TH-37PAS10 TQBA0286 TH-42PAS10 TH-37PAS10 TQBA0286 2 4 8 10 11 17 18 20 21 22 23 24 25 26 27 28 29 30 31 32 33 38 42 44 46 50 51 52 53 54 3 4 5 6 7 8 3 4 1 2 9 5 6 1 4 2 3 5 6 10 11 1 2 3 4 12 13 14 TH-42PAS10 TH-42PAS10

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

#define HOUR 0x04 #define DAY 0x05 #define WEEKDAY 0x06 #define MONTH 0x07 #define YEAR 0x08 #define CKOUT 0x0D #define CTRLT 0x0E // CLKOUT // TIMER

#define HOUR 0x04 #define DAY 0x05 #define WEEKDAY 0x06 #define MONTH 0x07 #define YEAR 0x08 #define CKOUT 0x0D #define CTRLT 0x0E // CLKOUT // TIMER ****************** RTC clock with thermo & moisture meter by PIC12F1827 LCD display and internal clock By nobcha all right reserved Ver 1.0 10/14/2012 PIC16F1827 4bits paralell LCD PIC12F1827 + LCD + RTC8564NB

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

製åfi†æ¡‹åƒ–.xlsx

製åfi†æ¡‹åƒ–.xlsx [ 部品表 ] 名称 USB I/Oボード基板リレードライブボード基板 PIC8F2550-I/SP ICソケット 28P 抵抗 0KΩ 330Ω 電解コンデンサ 0μF セラミックコンデンサ 0.μF セラミック発振子 20MHz チョークコイル 00μH タクトスイッチ L 型ヘッダーピン 6P ヘッダーピン 5P XHコネクタ 2P( メス ) XHコネクタ 4P( メス ) XHコネクタ 8P(

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

Microsoft Word - PIC-P14マニュアル.doc

Microsoft Word - PIC-P14マニュアル.doc イントロダクション : OLIMEX 社のPIC-P14は 低価格の開発ボードで 14ピンのマイクロチップ社製 PICマイクロコントローラの開発試作品の製作やプログラムの開発にお使いいただけます このPIC-P14ボードでできることは以下の通りです ボードに搭載されている機能 :OLIMEX 社のPIC-P14には RS232 用コネクタとそのドライバが搭載されていますので RS232を通してPCなどに接続できます

More information

01Ł\”ƒDV700D

01Ł\”ƒDV700D PWR RET RETURN TITLE SUBTITLE AUDIO ANGLE OSD STOP MENU PAUSE REPEAT TRACK GRP TITLE / CHAPTER PLAY T E X T DVD-VIDEO/VIDEO CD/CD PLAYBACK DIGITAL OUTPUT 96 khz 24 bit D/A CONVERTER 1 2 3 4 5 6 7 8 9 CX-DV700

More information

Microsoft Word - T-ADA16S.doc

Microsoft Word - T-ADA16S.doc T-ADA16S rev.1.0 PC/104 バスシリーズ 12ビットAD 12ビットDA 絶縁 IO 取扱説明書 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

改版履歴 Ver 改版日内容 /02/07 新規作成 2 / 18

改版履歴 Ver 改版日内容 /02/07 新規作成 2 / 18 多目的俯瞰画像合成システム 機能仕様書 Crawler View 画像合成 RTC 発行日 2017 年 3 月 30 日 公立大学法人会津大学 株式会社東日本計算センター 1 / 18 改版履歴 Ver 改版日内容 1.0 2016/02/07 新規作成 2 / 18 1. 内容 1. はじめに... 4 1.1. 対象読者... 4 1.2. 適応範囲... 4 1.3. 開発環境及び使用機器...

More information

char int float double の変数型はそれぞれ 文字あるいは小さな整数 整数 実数 より精度の高い ( 数値のより大きい より小さい ) 実数 を扱う時に用いる 備考 : 基本型の説明に示した 浮動小数点 とは数値を指数表現で表す方法である 例えば は指数表現で 3 書く

char int float double の変数型はそれぞれ 文字あるいは小さな整数 整数 実数 より精度の高い ( 数値のより大きい より小さい ) 実数 を扱う時に用いる 備考 : 基本型の説明に示した 浮動小数点 とは数値を指数表現で表す方法である 例えば は指数表現で 3 書く 変数 入出力 演算子ここまでに C 言語プログラミングの様子を知ってもらうため printf 文 変数 scanf 文 if 文を使った簡単なプログラムを紹介した 今回は変数の詳細について習い それに併せて使い方が増える入出力処理の方法を習う また 演算子についての復習と供に新しい演算子を紹介する 変数の宣言プログラムでデータを取り扱う場合には対象となるデータを保存する必要がでてくる このデータを保存する場所のことを

More information

スライド 1

スライド 1 2013 年 6 月 10 日 : 草稿 2013 年 7 月 19 日 : 初稿 マイコンをはじめよう センサを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp センサを使おう 第 3 回 2013/8/3(Sat)

More information

DIGITAL DIGITAL SURROUND nglish NATURAL SOUND AV AMPLIFIER DSP-AX10 INPUT MODE INPUT VOLUME 6CH INPUT STANDBY /ON SPEAKERS A B BASS EXTENSION PROCESSO

DIGITAL DIGITAL SURROUND nglish NATURAL SOUND AV AMPLIFIER DSP-AX10 INPUT MODE INPUT VOLUME 6CH INPUT STANDBY /ON SPEAKERS A B BASS EXTENSION PROCESSO DIGITAL DIGITAL SURROUND nglish NATURAL SOUND AV AMPLIFIER DSP-AX10 INPUT MODE INPUT 6CH INPUT STANDBY /ON SPEAKERS A B BASS EXTENSION PROCESSOR DIRECT ON OFF DVD SOURCE A/B/C/D/E MD/TAPE D-TV/LD CD-R

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

1 return main() { main main C 1 戻り値の型 関数名 引数 関数ブロックをあらわす中括弧 main() 関数の定義 int main(void){ printf("hello World!!\n"); return 0; 戻り値 1: main() 2.2 C main

1 return main() { main main C 1 戻り値の型 関数名 引数 関数ブロックをあらわす中括弧 main() 関数の定義 int main(void){ printf(hello World!!\n); return 0; 戻り値 1: main() 2.2 C main C 2007 5 29 C 1 11 2 2.1 main() 1 FORTRAN C main() main main() main() 1 return 1 1 return main() { main main C 1 戻り値の型 関数名 引数 関数ブロックをあらわす中括弧 main() 関数の定義 int main(void){ printf("hello World!!\n"); return

More information

/* do-while */ #include <stdio.h> #include <math.h> int main(void) double val1, val2, arith_mean, geo_mean; printf( \n ); do printf( ); scanf( %lf, &v

/* do-while */ #include <stdio.h> #include <math.h> int main(void) double val1, val2, arith_mean, geo_mean; printf( \n ); do printf( ); scanf( %lf, &v 1 http://www7.bpe.es.osaka-u.ac.jp/~kota/classes/jse.html kota@fbs.osaka-u.ac.jp /* do-while */ #include #include int main(void) double val1, val2, arith_mean, geo_mean; printf( \n );

More information

Microsoft Word - T-AD16P.doc

Microsoft Word - T-AD16P.doc T-AD16P rev.1.0 取扱説明書 PC/104 バスシリーズ 16ビットADコンバータ差動 8ch シングルエンド16ch 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

( ) 1 1: 1 #include <s t d i o. h> 2 #include <GL/ g l u t. h> 3 #include <math. h> 4 #include <s t d l i b. h> 5 #include <time. h>

( ) 1 1: 1 #include <s t d i o. h> 2 #include <GL/ g l u t. h> 3 #include <math. h> 4 #include <s t d l i b. h> 5 #include <time. h> 2007 12 5 1 2 2.1 ( ) 1 1: 1 #include 2 #include 3 #include 4 #include 5 #include 6 7 #define H WIN 400 // 8 #define W WIN 300 // 9

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

Model ST7001 FM / AM Tuner 1 2 ª 3 4 STANDBY ON/STANDBY A PRESET BAND SELECT TUNED STEREO TUNING/PRESET PUSH ENTER FM/AM TUNER ST7001 POWER STANDBY POWER ON/STANDBY FM/AM TUNER ST7001 A PRESET BAND SELECT

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

画像ファイルを扱う これまでに学んだ条件分岐, 繰り返し, 配列, ファイル入出力を使って, 画像を扱うプログラムにチャレンジしてみよう

画像ファイルを扱う これまでに学んだ条件分岐, 繰り返し, 配列, ファイル入出力を使って, 画像を扱うプログラムにチャレンジしてみよう 第 14 回 応用 情報処理演習 ( テキスト : 第 10 章 ) 画像ファイルを扱う これまでに学んだ条件分岐, 繰り返し, 配列, ファイル入出力を使って, 画像を扱うプログラムにチャレンジしてみよう 特定色の画素の検出 ( テキスト 134 ページ ) 画像データが保存されているファイルを読み込んで, 特定色の画素の位置を検出するプログラムを作成しなさい 元画像生成画像 ( 結果の画像 )

More information

I. Backus-Naur BNF : N N 0 N N N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) (2) (3) (4) II. 0(0 101)* (

I. Backus-Naur BNF : N N 0 N N N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) (2) (3) (4) II. 0(0 101)* ( 2016 2016 07 28 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF : 11011 N N 0 N N 11 1001 N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) 1100100 (2) 1111011 (3) 1110010 (4) 1001011

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

joho07-1.ppt

joho07-1.ppt 0xbffffc5c 0xbffffc60 xxxxxxxx xxxxxxxx 00001010 00000000 00000000 00000000 01100011 00000000 00000000 00000000 xxxxxxxx x y 2 func1 func2 double func1(double y) { y = y + 5.0; return y; } double func2(double*

More information

TH-42/47/55LF6J,TH-42/47/55LF60J

TH-42/47/55LF6J,TH-42/47/55LF60J - + - + 1 2 M3 HDMI HDMI AV OUT HDMI AV OUT DVD DVD 19 3 1 18 4 2 11 12 13 14 15 16 17 18 19 10 DVD VIDEO OUT VCR AUDIO OUT L R RCA-BNC RCA-BNC Y PB PR OUT RGB OUT L R AUDIO OUT RGB DVD DVI-D PC DVI 5

More information

法政大学小林一行研究室 PSoC ドキュメント資料 Gerox(c) 10/12/ PSoC (49466 単体 ) で設定できるシリアル通信の最大クロックは? PSoC の UART のマニュアルによれば,6Mbits/second まで設定できる

法政大学小林一行研究室 PSoC ドキュメント資料 Gerox(c) 10/12/ PSoC (49466 単体 ) で設定できるシリアル通信の最大クロックは? PSoC の UART のマニュアルによれば,6Mbits/second まで設定できる PSoC (49466 単体 ) で設定できるシリアル通信の最大クロックは? PSoC の UART のマニュアルによれば,6Mbits/second まで設定できると書いてある しかし, 実際には,CPU の速度や, 供給する Clock によりその制約通りとは行かない ここでは, 外部クロックは, 接続しない標準的な状態, かつ,C 言語でのプログラミングで, どこまで通信レートを上げられるかを実験的に検証してみる

More information

XMOS プログラミング入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. このサンプルページの内容は, 初版 1 刷発行時のものです.

XMOS プログラミング入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます.   このサンプルページの内容は, 初版 1 刷発行時のものです. XMOS プログラミング入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/085251 このサンプルページの内容は, 初版 1 刷発行時のものです. i 40 LED OS OS XMOS 32 XMOS OS XMOS XMOS XMOS OS FPGA ASIC ii XMOS Chapter

More information

Microsoft Word - 工学ゼミ3_テキスト

Microsoft Word - 工学ゼミ3_テキスト 2017 年 工学ゼミ Ⅲ 安全 環境活動に役立つ LEDイルミネーションの製作 1. 概要 3~5 名の学生グループで安全 環境活動に役立つ LED イルミネーションを作製する 作品のデザイン画や部品リスト 回路図 動作フロー図等は事前に作成し 計画的に作業を行うことが求められる 2. 達成すべき目標 作品に係る資料を事前にまとめ それに基づいて製作が行える 集団の中で 自身の知識 技術を積 極的に応用しながら

More information

057 { 058 int i; 059 timecounter=0; 060 pwmvalue=0;x=0;v=0; 061 requestdisplaydata=1; 062 tick=1; 063 for (i=0; i<rbuffsize; i++) { 064 ringbuffer[i]=

057 { 058 int i; 059 timecounter=0; 060 pwmvalue=0;x=0;v=0; 061 requestdisplaydata=1; 062 tick=1; 063 for (i=0; i<rbuffsize; i++) { 064 ringbuffer[i]= 制御工学 I 前期中間試験問題 June2005 担当小坂 次のプログラムは,H8 モータ実験装置で位置フィードバック + 速度フィードバックを使って, モータ軸をある角度だけ回転させて止めようとするプログラムである 後の問いに答えなさい 001 /********************************************************** 002 プログラムの説明 003

More information

SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します のチェックツールをルネサスエレクトロニクス株式会社のホームページ

SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します のチェックツールをルネサスエレクトロニクス株式会社のホームページ SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します 1. 2. 4. のチェックツールをルネサスエレクトロニクス株式会社のホームページより入手できます http//tool-support.renesas.com/jpn/toolnews/shc/shcv7/dr_shcv7_4.html

More information

AutomaticTelegraphKeyProject.c

AutomaticTelegraphKeyProject.c ** * プログラム名 著作権表示 プログラム開発環境 * * Pressure Vibration Telegraph Paddle ( 感圧振動パドル ) * * Author JH1IFZ K.A.Yoshida PressElecKeyProgを元に変更開始 2017.04.29- * * mikroc Ver. 6.0.0 * * wrighter pickit2 App Ver.2.61

More information

AN41250A

AN41250A DATA SHEET 品種名 パッケージコード HQFP048-P-0707A 発行年月 : 2007 年 6 月 1 目 概要. 3 特長. 3 用途. 3 外形. 3 構造.... 3 ブロック図.... 4 端子説明... 5 絶対最大定格..... 7 動作電圧範囲. 7 次 2 光 Disk 用 7-ch Motor r 用 IC 概要 は Spindle Motor 駆動部に低雑音の Direct

More information

D-MS5DV

D-MS5DV PHONES POWER LOADING MECHANISM DIGITAL DTS LINE FM / AM TONE / SDB SDB MULTI REC ALL TRACK 8 + 9 / PROG TITLE/CHARA SRS TRACK TUNING LINE ALL - SRS - TRACK B B B PERSONAL AUDIO SYSTEM D-MS5DV z x

More information

から付与します さらに, ゼロプレッシャー ICソケットの空き部分を使って, タイマー ICである555の動作チェックができます ユニバーサル基板の一部に555 用の発振回路を構成しています 555をソケットに差し込んで電源スイッチを入れると,ICが良品の場合は LEDが点滅します LEDが点滅しな

から付与します さらに, ゼロプレッシャー ICソケットの空き部分を使って, タイマー ICである555の動作チェックができます ユニバーサル基板の一部に555 用の発振回路を構成しています 555をソケットに差し込んで電源スイッチを入れると,ICが良品の場合は LEDが点滅します LEDが点滅しな 実験ノート IC チェッカーの製作 無接点シーケンス制御と電子回路で使える小品 秋田センター ( 秋田職業能力開発促進センター ) 千葉富雄. はじめに 本作品はデジタルICが良品か不良品かの判定を, だれでも簡単に行える試験器です 当センターの電気設備科では 無接点シーケンス制御 を2ユニット勉強します 実技課題はブレッドボードを使用して実習回路の組み立てを行っています 受講生が回路を組み立てても作動しないとき,

More information