99/Q1N&V(P1-19)pdf

Size: px
Start display at page:

Download "99/Q1N&V(P1-19)pdf"

Transcription

1 t SU t CO A-NV-Q199-01/J

2 Altera, APEX, APEX 20K, ASCEND, ACCESS, AMPP, BitBlaster, ByteBlaster, ByteBlasterMV, Classic, ClockBoost, ClockLock, CoreSyn, EPC2, FineLine BGA, FLEX, FLEX 10K, FLEX 10KE, FLEX 10KA, FLEX 8000, FLEX 6000, FLEX 6000A, Jam, MAX 9000, MAX 9000A, MAX 7000, MAX 7000E, MAX 7000S, MAX 7000A, MAX 7000AE, MAX 5000, MAX, MAX+PLUS, MAX+PLUS II, MegaCore, MegaLAB, MegaWizard, MultiCore, MultiVolt, NativeLink, nstep, OpenCore, Quartus, SignalTap, System-on-a-Programmable-Chip, and specific device designations are trademarks and/or service marks of Altera Corporation in the United States and other countries. Altera acknowledges the trademarks of other organizations for their respective products or services mentioned in this document, specifically: AS- SET is a registered trademark of ASSET InterTech, Inc. HammerCores is a registered trademark of HammerCores, Inc. Integrated Silicon Systems is a registered trademark of, Inc. KTech Telecommunications is a registered trademark of KTech Telecommunications, Inc. Nova Engineering is a registered trademark of Nova Engineering, Inc. Rochester Electronics is a registered trademark of Rochester Electronics, Inc. Tech 80 is a registered trademark of Technology 80, Inc. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera s standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. The actual availability of Altera s products and features could differ from those projected in this publication and are provided solely as an estimate to the reader. Copyright 1999 Altera Corporation. All rights reserved. 2 Altera Corporation News & Views April 1999

3 Features pci_c pci_c pci_c pci_a pci_b pcit1 Altera Corporation News & Views April

4 Devices & Tools & TOOLS LE LE LE LE LE LE LE LE LE LE LAB1 LAB2 LAB16 MegaLAB 263, , , ,000 1,052,000 1,537,000 2,670,000 53,000 82, , , , , , , , , , , ,000 1,073,000 4,160 6,400 8,320 11,520 16,640 24,320 42, ,152 1,664 2,432 4,224 53,248 81, , , , , ,672 4 Altera Corporation News & Views April 1999

5 Devices & Tools µ EPF10K100A PCN EPF10K30A PCN EPF10K10A PCN EPF10K50 PCN Altera Corporation News & Views April

6 Devices & Tools EPF10K30E 144-pin TQFP -1, -2, pin PQFP -1, -2, pin FineLine BGA -1, -2, pin FineLine BGA -1, -2, -3 EPF10K50V 484-pin FineLine BGA -1, -2, -3 EPF10K50E 144-pin TQFP -1, -2, pin PQFP -1, -2, pin PQFP -1, -2, pin FineLine BGA -1, -2, pin BGA -1, -2, pin FineLine BGA -1, -2, -3 EPF10K100B 208-pin PQFP -1, -2, pin PQFP -1, -2, pin FineLine BGA -1, -2, -3 EPF10K100E 208-pin PQFP -1, -2, pin PQFP -1, -2, pin FineLine BGA -1, -2, pin BGA -1, -2, pin FineLine BGA -1, -2, -3 EPF10K130E 240-pin PQFP -1, -2, pin BGA -1, -2, pin FineLine BGA -1, -2, pin BGA -1, -2, pin FineLine BGA -1, -2, -3 EPF10K200E 240-pin RQFP -1, -2, pin BGA -1, -2, pin FineLine BGA -1, -2, pin PGA -1, -2, pin BGA -1, -2, pin FineLine BGA -1, -2, -3 EPF6010A EPF6016 EPF6016A EPF6024A 100-Pin TQFP 100-Pin FineLine BGA 144-Pin TQFP 208-Pin PQFP 240-Pin PQFP 256-Pin BGA 256-Pin FineLine BGA EPF6016TI pin TQFP EPF6016QI pin PQFP EPF6016ATI pin TQFP EPF6016ATI pin TQFP EPF6016AQI pin PQFP EPF6024AQI pin PQFP 6 Altera Corporation News & Views April 1999

7 Devices & Tools t PD 84-Pin 208-Pin 240-Pin 356-Pin PLCC RQFP RQFP BGA EPM9320A 10 ns C, I C, I C EPM9560A 10 ns C, I C, I C EPM7032AE 44-pin PLCC -4, -7, pin TQFP -4, -7, -10 EPM7064AE 44-pin PLCC -4, -7, pin TQFP -4, -7, pin TQFP -4, -7, pin FineLine BGA -4, -7, -10 EPM7128A 84-pin PLCC -6, -7, -10, pin TQFP -6, -7, -10, pin FineLine BGA -6, -7, -10, pin PQFP -6, -7, -10, pin FineLine BGA -6, -7, -10, -12 EPM7128AE 84-pin PLCC -5, -7, pin TQFP -5, -7, pin TQFP -5, -7, pin FineLine BGA -5, -7, -10 EPM7256A 100-pin TQFP -7, -10, pin TQFP -7, -10, pin PQFP -7, -10, pin FineLine BGA -7, -10, -12 EPM7256AE 100-pin TQFP -6, -7, pin FineLine BGA -6, -7, pin TQFP -6, -7, pin PQFP -6, -7, pin FineLine BGA -6, -7, -10 EPM7512AE 144-pin TQFP -7, -10, pin PQFP -7, -10, pin BGA -7, -10, pin FineLine BGA -7, -10, -12 EPM7032S 44-pin PLCC -5, -6, -7, pin TQFP -5, -6, -7, -10 EPM7064S 44-pin PLCC -5, -6, -7, pin TQFP -5, -6, -7, pin PLCC -5, -6, -7, pin TQFP -5, -6, -7, -10 EPM7128S 84-pin PLCC -6, -7, -10, pin TQFP -6, -7, -10, pin PQFP -6, -7, -10, pin PQFP -6, -7, -10, -15 EPM7160S 84-pin PLCC -6, -7, pin TQFP -6, -7, pin PQFP -6, -7, -10 EPM7192S 160-pin PQFP -7, -10, -15 EPM7256S 208-pin PQFP -7, -10, -15 Altera Corporation News & Views April

8 Devices & Tools µ EPM7032 PCN ADV 9803 EPM7064 PCN EPM7064S ADV 9708 EPM7128E PCN EPM7128S ADV 9708 EPM7160E PCN ADV 9803 EPM7192E PCN EPM7192S ADV 9708 EPM7256S PCN EPM7256E ADV 9708 EPM9320 PCN ADV 9803 EPM9560 PCN ADV Altera Corporation News & Views April 1999

9 Devices & Tools FIXEDPC $2,000 FLOATPC $2,200 FLOATNET $2,400 RENEWAL $2,000 ADD-FLOATPC $2,200 ADD-FLOATNET $2,400 PLL LUT FIFO FIFO Altera Corporation News & Views April

10 Devices & Tools PLMF pin FineLine BGA EPM7064AEFC100 EPM7128AFC100 EPM7128AEFC100 EPM7256AEFC100 PLMF pin FineLine BGA EPM7128AFC256 EPM7128AEFC256 EPM7256AFC256 EPM7256AEFC256 EPM7512AEFC256 PLMB pin BGA EPM7512AEBC256 MAX 7000 EPM7032AE 44-pin PDIP, 44-pin TQFP EPM7064AE 100-pin FineLine BGA EPM7128A 256-pin FineLine BGA EPM7512AE 144-pin TQFP, 208-pin PQFP, 256-pin BGA, 256-pin FineLine BGA FLEX 10K EPF10K pin FineLine BGA EPF10K30A 256-pin FineLine BGA EPF10K50E 256-pin FineLine BGA, 484-pin FineLine BGA EPF10K200E 599-pin PGA, 600-pin BGA 10 Altera Corporation News & Views April 1999

11 Devices & Tools Altera Digital Library CD-ROM ftp.altera.com Altera Corporation News & Views April

12 Technical Articles ARTICLES VCCINT VCCIO VCCIO VCCINT VCCIO VCCIO FLEX 10K, FLEX FLEX 6000 () 3.3 MAX 9000, MAX 7000S EPF10K130V, EPF10K50V FLEX 10KA, FLEX 6000 (3.3V), 2.5 MAX 7000A, MAX 7000AE FLEX 10KE APEX 20K APEX 20KE VCCINT VCCIO 12 Altera Corporation News & Views April 1999

13 Technical Articles VCCIO VCCINT VCCIO VCCIO VCCIO VCCIO VCCIO VCCIO Altera Corporation News & Views April

14 Technical Articles.jbc FFFFh!RD 8051!PSEN!RD!WR!OE!OE!WE 0000h FFFFh 0000h 14 Altera Corporation News & Views April 1999

15 Technical Articles.jam ROM 8051 RAM!RD!WR Jam Byte-Code File (.jbc) Jam Byte-Code Player Binary!OE!WE FFFFh 0000h FFFFh 0000h VCCIO VCCINT FLEX 10KA V CC = 0 V 300 µa FLEX 10KE V IN 5.75 V FLEX 6000 () MAX 7000AE V CC = 0 V 300 µa V IN 3.6 V V CC = 0 V V IN 5.75 V µ OE1 GCLRn Altera Corporation News & Views April

16 Customer Application Application 16 Altera Corporation News & Views April 1999

17 Customer Application Technology 80, Inc. 658 Mendelssohn Ave. No. Minneapolis, MN (612) Clock DSP Drivers and Protection Digital Motor Outputs Data Address Control Data Address Control and Status IRQs Multiport Buffer Read/Write Control Interrupt Control Control Capture Registers Counters Command Adjustment Auxiliary I/O Programmable Debounce Digital Filtering, Decoding, and Error Detection Data Serializer Analog Daughter Board Connector Drivers and Protection Receivers and Protection Analog Motor Commands Motor Enable Outputs, Auxilary Outputs Drive Fault, Auxilary Outputs Home and Limit Inputs Encoder Inputs Board Configuration Software Jumper Control Software Setup for Drivers and Receivers Host Bus Altera EPF10K30 Device Altera Configurator Base Address Switch Serial EEROM Current Limiting and Filtering Technology B Board Encoder Power Axis Interface Altera Corporation News & Views April

18 Questions & Answers & ANSWERS Q A Q A.svf Q A Q A Q A license.dat license.dat FEATURE max2.es alterad jun 1999 uncounted D64F2D5DAE78 \ HOSTID=DISK_SERIAL_NUM=d8452f2f FEATURE maxplus2web alterad jun-1999 uncounted \ 626CE8C32D52 HOSTID=DISK_SERIAL_NUM=d8452f2f 18 Altera Corporation News & Views April 1999

19 Questions & Answers license.dat Q.jam.jbc A.jam.jbc Q.pof A.jcf.pof Q A Q A Altera Corporation News & Views April

20 Technical Articles OR XOR OR EPF10K100E-1 EPM7064AE-4 LUT Register Register Product Term t CO = 4.2 ns t D = 1.0 ns t SU = 3.0 ns Delay = 4.2 ns ns ns = 8.2 ns APEX 20K-1 LUT Register Register Product Term t CO = 0.2 ns t LAD = 3.9 ns t SU = 0.7 ns Delay = 0.2 ns ns ns = 4.8 ns AND Altera Corporation News & Views April 1999

21 Technical Articles AND Altera N E W S Altera Corporation News & Views April

22 Altera News Present P 22 Altera Corporation News & Views April 1999

23 Altera News ASSET InterTech, Inc N. Central Expressway, Suite 105 Richardson, TX TEL: , FAX: Altera Corporation News & Views April

24 Altera News SM ALTERA MEGAFUNCTION PARTNERS PROGRAM 8030 serial commmunications controller Monosync/bisync controller SDLC/HDLC controller Data encoder/decoder Cyclic redundancy code (CRC) generator/detector Digital phase-locked loop (PLL) 8036 CIO 8259 programmable interrupt controller (PIC) SONET byte telecommunication bus interface SONET VT1.5 mapper SONET VT1.5 extractor PPP over SONET controller Innocor Ltd. Randy Gill 7 Mill Street, Suite 300 Almonte, ON Canada K0A 1A0 Tel: (613) Fax:(613) info@innocor.com 24 Altera Corporation News & Views April 1999

25 In Every I S S U E Data I/O BP Microsystems EPC1064 EPC1213 EPC1 EPC1441 EPM7032 EPM7032S EPM7032AE EPM7064 EPM7064S EPM7064AE EPM7096 EPM7128E EPM7128S EPM7128A EPM7160E EPM7192E EPM7192S EPM7256E EPM7256A EPM7256S EPM7512AE EPM9320 EPM9320A EPM9400 EPM9480 EPM9560 EPM9560A Altera Digital Library CD-ROM, version 5 (P-CD-ADL-05) APEX 20K Programmable Logic Device Family Data Sheet (A- DS-APEX20K-01) AN 71 : Guidelines for Handling J-Lead, QFP & BGA Devices (A-AN ) AN 80 : Selecting Sockets for Altera Devices (A-AN ) AN 81 : Reflow Soldering Guidelines for Surface-Mount Devices (A-AN ) AN 90: SameFrame Pin-Out Design for FineLine BGA Packages (A-AN ) AN 102: Improving Performance in FLEX 10K Devices with Leonardo Spectrum Software (A-AN ) AN 106: Designing with 2.5-V Devices (A-AN ) SB 38: SDRAM Controller Megafunction (A-SB ) BitBlaster ByteBlasterMV FLEX 10K FLEX 10KA FLEX 10KE FLEX 8000 FLEX 6000 MAX 9000 MAX 9000A MAX 7000S MAX 7000A Altera Corporation News & Views April

26 In Every Issue EPC1064 (2), DIP, J-lead PLMJ1213 EPC1064V (2), TQFP PLMT1064 EPC1441 (3) EPC1 (3), DIP, J-lead PLMJ1213 EPC1213 (2) EPC2 (3) J-lead PLMJ1213 TQFP PLMT1064 EPM9320 J-lead (84-pin) PLMJ RQFP (208-pin) PLMR PGA (280-pin) PLMG EPM9320A J-lead (84-pin) PLMJ RQFP (208-pin) PLMR NC EPM9400 J-lead (84-pin) PLMJ RQFP (208-pin) PLMR RQFP (240-pin) PLMR EPM9480 RQFP (208-pin) PLMR RQFP (240-pin) PLMR EPM9560 RQFP (208-pin) PLMR RQFP (240-pin) PLMR PGA (280-pin) PLMG RQFP (304-pin) PLMR EPM9560A RQFP (208-pin) PLMR NC RQFP (240-pin) PLMR NC EPM7032, J-lead (44-pin) PLMJ EPM7032V PQFP (44-pin) PLMQ TQFP (44-pin) PLMT EPM7032S, J-lead (44-pin) PLMJ EPM7032AE TQFP (44-pin) PLMT EPM7064 J-lead (44-pin) PLMJ TQFP (44-pin) PLMT J-lead (68-pin) PLMJ J-lead (84-pin) PLMJ PQFP (100-pin) PLMQ EPM7064AE FineLine BGA (100-pin) PLMF EPM7128, J-lead (84-pin) PLMJ EPM7128E PQFP (100-pin) PLMQ PQFP (160-pin) PLMQ7128/ EPM7128A J-lead (84-pin) PLMJ TQFP (100-pin) PLMT NC TQFP (144-pin) PLMT NC FineLine BGA (100-pin) PLMF FineLine BGA (256-pin) PLMF EPM7128AE FineLine BGA (100-pin) PLMF FineLine BGA (256-pin) PLMF EPM7128S J-lead (84-pin) PLMJ PQFP (100-pin) PLMQ NC TQFP (100-pin) PLMT NC PQFP (160-pin) PLMQ7128/ NC EPM7160E J-lead (84-pin) PLMJ PQFP (100-pin) PLMQ PQFP (160-pin) PLMQ7128/ EPM7160S J-lead (84-pin) PLMJ PQFP (100-pin) PLMQ NC PQFP (160-pin) PLMQ7128/ NC EPM7192E PGA (160-pin) PLMG PQFP (160-pin) PLMQ7192/ EPM7192S PQFP (160-pin) PLMQ7192/ NC EPM7256E PQFP (160-pin) PLMQ7192/ PGA (192-pin) PLMG PQFP (208-pin) PLMR RQFP (208-pin) PLMR EPM7256A FineLine BGA (256-pin) PLMF EPM7256A PQFP (208-pin) PLMR NC EPM7256S RQFP (208-pin) PLMT NC EPM7256AE FineLine BGA (100-pin) PLMF FineLine BGA (256-pin) PLMF EPM7384AE TQFP (144-pin) PLMT NC PQFP (208-pin) PLMR NC EPM7512AE TQFP (144-pin) PLMT NC PQFP (208-pin) PLMR NC BGA (256-pin) PLMB FineLine BGA (256-pin) PLMF EPM7064S, J-lead (44-pin) PLMJ EPM7064AE J-lead (84-pin) PLMJ TQFP (44-pin) PLMT TQFP (100-pin) PLMT NC (4) EPM7096 J-lead (68-pin) PLMJ J-lead (84-pin) PLMJ PQFP (100-pin) PLMQ Altera Corporation News & Views April 1999

27 In Every Issue OpenCore TM FIR Filter Compiler Convolutional Interleaver/Deinterleaver Fast Fourier Transform Fast Fourier Transform (FFT/IFFT) FIR Filter Library Floating-Point Adder Floating-Point Divider Floating-Point Multiplier IIR Filter Library Median Filter Library Multi-Standard ADPCM Rank Order Filter Library Parameterized Floating-Point Adder/Subtractor Parameterized Integer Divider Parameterized Floating-Point Multiplier Data Word Rounder Data Word Saturator Altera MegaCore Function Altera MegaCore Function Altera MegaCore Function Altera Reference Design Altera Reference Design Altera Reference Design Altera Reference Design Altera Reference Design Adaptive Equalizer Adaptive Equalizer Adaptive Filter Binary Pattern Correlator Convolutional Encoder Block and Convolutional Interleavers/Deinterleavers Complex Mixer/Multiplier Convolutional Interleaver (Cable Modem and PCS) Cordpol Function DES-Core DES-Core (US and Canada Only) DES-Core Digital Modulator Early/Late Gate Symbol Synchronizer FFT/IFFT Linear Feedback Shift Register LMS and Zero-Forcing Equalizers Numerically Controlled Oscillator QPSK Equalizer HammerCores Nova Engineering, Inc. Nova Engineering, Inc. KTech Communications HammerCores CAST HammerCores Sican Microelectronics Nova Engineering, Inc. Nova Engineering, Inc. Nova Engineering, Inc. Nova Engineering, Inc. HammerCores CRC Checker/Generator Convolutional Encoder Convolutional Interleaver Reed-Solomon Encoder Intermediate Data Rate (IDR) Framer/Deframer Reed-Solomon Decoder Reed-Solomon Decoder Reed-Solomon Encoder Viterbi Decoder Viterbi Decoder Altera MegaCore Function KTech Communications HammerCores HammerCores CAST RGB2YCrCb and YCrCb2RGB Color Space Converters Image Processing Library IDR Framer/Deframer JPEG Decoder/Encoder Laplacian Edge Detector Parameterized Discrete Cosine Transform Altera MegaCore Function Altera Corporation News & Views April

28 In Every Issue EP20K , Pin TQFP, 196-Pin BGA 1, 208-Pin PQFP, 240-Pin PQFP, 324-Pin BGA 1, 2.5 V 4,160 53, Pin BGA EP20K100E 100, Pin TQFP, 196-Pin BGA 1, 208-Pin PQFP, 240-Pin PQFP, 324-Pin BGA V 4,160 53, EP20K160E 160, Pin TQFP, 208-Pin PQFP, 240-Pin PQFP, 400-Pin BGA V 6,400 81, EP20K , Pin RQFP, 240-Pin RQFP, 356-Pin BGA, 484-Pin BGA 1, 672-Pin BGA V 8, , EP20K200E 200, Pin PQFP, 240-Pin PQFP, 484-Pin BGA V 8, , EP20K300E 300, Pin RQFP, 240-Pin RQFP, 672-Pin BGA V 11, ,456 1,152 EP20K , Pin BGA, 655-Pin PGA, 672-Pin BGA V 16, ,992 1,664 EP20K400E 400, Pin RQFP, 240-Pin RQFP, 672-Pin BGA V 16, ,992 1,664 EP20K600E 600, Pin BGA 1, 900-Pin BGA V 24, ,296 2,432 EP20K1000E 1,000, Pin BGA 1, 984-Pin PGA 1.8 V 42, ,672 4,224 EPF10K10 10, Pin PLCC, 144-Pin TQFP, 208-Pin PQFP 59, 102, 134-3, ,144 EPF10K10A 10, Pin TQFP, 144-Pin TQFP, 208-Pin PQFP, 256-Pin BGA 1 66, 102, 134, 150-1, -2, ,144 EPF10K20 20, Pin TQFP, 208-Pin RQFP, 240-Pin RQFP 102, 147, 189-3, -4 1,152 12,288 EPF10K30 30, Pin RQFP, 240-Pin RQFP, 356-Pin BGA 147, 189, 246-3, -4 1,728 12,288 EPF10K30A 30, Pin TQFP, 208-Pin PQFP, 240-Pin PQFP, 256-Pin BGA 1, 102, 147, 189, 191, -1, -2, -3 1,728 12, Pin BGA, 484-Pin BGA 1 246, 246 EPF10K30E 30, Pin TQFP, 208-Pin PQFP, 256-Pin BGA 1, 484-Pin BGA 1 102, 147, 176, V -1, -2, -3 1,728 24,576 EPF10K40 40, Pin RQFP, 240-Pin RQFP 147, 189-3, -4 2,304 16,384 EPF10K50 50, Pin RQFP, 356-Pin BGA, 403-Pin PGA 189, 274, 310-3, -4 2,880 20,480 EPF10K50V 50, Pin PQFP, 356-Pin BGA, 484-Pin BGA 1 189, 274, 291-1, -2, -3, -4 2,880 20,480 EPF10K50E 50, Pin TQFP, 208-Pin PQFP, 240-Pin PQFP, 102, 147, 189, 2.5 V -1, -2, -3 2,880 40, Pin BGA 1, 356-Pin BGA, 484-Pin BGA 1 191, 256 2, 254 EPF10K70 70, Pin RQFP, 503-Pin PGA 189, 358-2, -3, -4 3,744 18,432 EPF10K , Pin PGA 406-3, -4 4,992 24,576 EPF10K100A 100, Pin RQFP, 356-Pin BGA, 484-Pin BGA 1, 600-Pin BGA 189, 274, 369, 406-1, -2, -3 4,992 24,576 EPF10K100B 100, Pin PQFP, 240-Pin PQFP, 256-Pin BGA 1 147, 189, V -1, -2, -3 4,992 24,576 EPF10K100E 100, Pin PQFP, 240-Pin PQFP, 256-Pin BGA 1, 356-Pin BGA, 147, 189, 191, 274 2, 2.5 V -1, -2, -3 4,992 49, Pin BGA EPF10K130V 130, Pin PGA, 600-Pin BGA 470, 470-2, -3, -4 6,656 32,768 EPF10K130E 130, Pin PQFP, 356-Pin BGA, 484-Pin BGA 1, 600-Pin BGA 186, 274 2, 369, 426 2, 2.5 V -1, -2, -3 6,656 65, Pin BGA EPF10K200E 200, Pin RQFP, 356-Pin BGA, 484-Pin BGA 1, 599-Pin PGA, 182 2, 274 2, 380 2, V -1, -2, -3 9,984 98, Pin BGA, 672-Pin BGA 1 470, 470 EPF10K250A 250, Pin PGA, 600-Pin BGA 470, 470-1, -2, -3 12,160 40, Altera Corporation News & Views April 1999

29 In Every Issue EPF8282A 2, Pin PLCC, 100-Pin TQFP 68, 78-2, -3, EPF8282AV 2, Pin TQFP 78-3, EPF8452A 4, Pin PLCC, 100-Pin TQFP, 160-Pin PGA/PQFP 68, 68, 120-2, -3, EPF8636A 6, Pin PLCC, 160-Pin PQFP, 192-Pin PGA, 208-Pin PQFP 68, 118, 136, 136-2, -3, EPF8820A 8, Pin TQFP, 160-Pin PQFP, 192-Pin PGA, 208-Pin PQFP, 112, 120, 152, 152, -2, -3, Pin BGA 152 EPF81188A 12, Pin PQFP, 232-Pin PGA, 240-Pin PQFP 148, 184, 184-2, -3, -4 1,188 1,008 EPF81500A 16, Pin PQFP, 280-Pin PGA, 304-Pin RQFP 181, 208, 208-2, -3, -4 1,500 1,296 EPF6010A 10, Pin TQFP, 144-Pin TQFP, 100-Pin BGA 1, 256-Pin BGA 1 81, 117, 81 2, , -2, EPF6016 EPF6016A 16,000 16, Pin TQFP, 208-Pin PQFP, 240-Pin PQFP, 256-Pin BGA 100-Pin TQFP, 100-Pin BGA 1, 144-Pin TQFP, 208-Pin PQFP, 117, 171, 199, , 81, 117 2, 171, -2, -3-1, -2, -3 1,320 1,320 1,320 1, Pin BGA EPF6024A 24, Pin TQFP, 208-Pin PQFP, 240-Pin PQFP, 256-Pin BGA, 117, 171, 199, 218, -1, -2, -3 1,960 1, Pin BGA EPC Pin PDIP, 20-Pin PLCC, 32-Pin TQFP EPC1064V 8-Pin PDIP, 20-Pin PLCC, 32-Pin TQFP EPC Pin PDIP, 20-Pin PLCC EPC Pin PDIP, 20-Pin PLCC, 32-Pin TQFP 3.3/ EPC1 1 8-Pin PDIP, 20-Pin PLCC 3.3/ EPC Pin PLCC, 32-Pin TQFP 3.3/ EPM9320A EPM Pin PLCC, 208-Pin RQFP, 356-Pin BGA 84-Pin PLCC, 208-Pin RQFP, 280-Pin PGA, 356-Pin BGA 60, 132, , 132, , -20 EPM Pin PLCC, 208-Pin RQFP, 240-Pin RQFP 59, 139, , -20 EPM Pin RQFP, 240-Pin RQFP 146, , -20 EPM9560A Pin RQFP, 240-Pin RQFP, 356-Pin BGA 153, 191, EPM Pin RQFP, 240-Pin RQFP, 280-Pin PGA, 304-Pin RQFP, 356-Pin BGA 153, 191, , -20 Altera Corporation News & Views April

30 In Every Issue EPM7032AE EPM7032S EPM7032 EPM7064AE EPM7064S EPM7064 EPM7096 EPM7128A EPM7128AE EPM7128S EPM7128E EPM7160S EPM7160E EPM7192S EPM7192E EPM7256A EPM7256AE Pin PLCC/TQFP 44-Pin PLCC/TQFP 44-Pin PLCC/TQFP/PQFP 44-Pin PLCC/TQFP, 100-Pin TQFP, 100-Pin BGA 1 44-Pin PLCC/TQFP, 84-Pin PLCC, 100-Pin TQFP 44-Pin PLCC/TQFP, 68-Pin PLCC, 84-Pin PLCC, 100-Pin PQFP 68-Pin PLCC, 84-Pin PLCC, 100-Pin PQFP 84-Pin PLCC, 100-Pin TQFP, 100-Pin BGA 1, 144-Pin TQFP, 256-Pin BGA 1 84-Pin PLCC, 100-Pin TQFP, 100-Pin BGA 1, 144-Pin TQFP, 256-Pin BGA 1 84-Pin PLCC, 100-Pin PQFP/TQFP, 160-Pin PQFP 84-Pin PLCC, 100-Pin PQFP, 160-Pin PQFP 84-Pin PLCC, 100-Pin TQFP, 160-Pin PQFP 84-Pin PLCC, 100-Pin PQFP, 160-Pin PQFP 160-Pin PQFP 160-Pin PQFP/PGA 100-Pin TQFP, 144-Pin TQFP, 208-Pin PQFP, 256-Pin BGA Pin TQFP, 144-Pin TQFP, 208-Pin PQFP, 100-Pin BGA 1, 256-Pin BGA , 68, 68 36, 68, 68 36, 52, 68 52, 64, 76 68, 84, 84, 100, , 84, 84, 100, , 84, , 84, , 84, , 84, , 120, 164, , 120, 164, 84, 164-4, -7, -10-5, -6, -7, -10-6, -7, -10, -12, -15-4, -7, -10-5, -6, -7, -10-6, -7, -10, -12, -15-7, -10, -12, -15-6, -7, -10, -12-5,-7,-10-6, -7, -10, -15-7, -10, -12, -15, -20-7, -10, , -12, -15, -20-7, -10, , -15, -20-7, -10, -12-6, -7, -10 EPM7256S EPM7256E EPM7512AE Pin BGA 208-Pin RQFP/PQFP 160-Pin PQFP, 192-Pin PGA, 208-Pin RQFP 144-Pin TQFP, 208-Pin PQFP, 256-Pin BGA 1, 256-Pin BGA , , 176, 212, 212-7, -10, , -15, -20-7, -10, Altera Corporation News & Views April 1999

31 @

32 AItera Corporation 101 Innovation Drive, San Jose, CA TEL : (408)

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

N&V(P1-20)

N&V(P1-20) MAX 7000 FLEX 6000 FLEX 10K A-NV-Q398-01/J Altera, ASCEND, AMPP, BitBlaster, ByteBlaster, ByteBlasterMV, Classic, FastTrack Interconnect, FineLine BGA, FLEX, FLEX 10K, FLEX 10KA, FLEX 8000, FLEX 6000,

More information

News & Views Q1 2004

News & Views Q1 2004 NV-2004-Q1/JP Executive Viewpoint 2 Altera Corporation News & Views First Quarter 2004 Table of Contents 4 8 13 Altera, ACAP, ACCESS, ACEX, ACEX 1K, AMPP, APEX, APEX 20K, APEX 20KC, APEX 20KE, APEX II,

More information

N&VP.1-19PDF用

N&VP.1-19PDF用 100% 80% 60% 40% 20% 0% 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2.5 V 3.3 V A-NV-Q198-01/J Altera, ASEND, APEX, AMPP, BitBlaster, ByteBlaster, lassic, FastTrack Interconnect, FLEX, FLEX 10K,

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1999 5 ver. 3 Application Note 100 Time-to-Market ISP PLD PCB ISP IEEE Std. 1149.1 JTAG Joint Test Action Group JTAG PCB ISP ISP IEEE Std.1149.1 ISP ISP

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

Adobe Acrobat DC 製品比較表

Adobe Acrobat DC 製品比較表 X X Adobe, the Adobe logo, Acrobat, the Adobe PDF logo, Creative Cloud, and Reader are either registered trademarks or trademarks of Adobe Systems Incorporated in the United States and/or other countries.

More information

展開とプロビジョニングの概念

展開とプロビジョニングの概念 ADOBE CREATIVE SUITE 5 2010 Adobe Systems Incorporated and its licensors. All rights reserved. Adobe Creative Suite Deployment and Provisioning Concepts This guide is licensed for use under the terms of

More information

Software Tag Implementation in Adobe Products

Software Tag Implementation in Adobe Products 2011 Adobe Systems Incorporated. All rights reserved. Software Tagging in Adobe Products Tech Note Adobe, the Adobe logo, and Creative Suite are either registered trademarks or trademarks of Adobe Systems

More information

untitled

untitled SUBJECT: Applied Biosystems Data Collection Software v2.0 v3.0 Windows 2000 OS : 30 45 Cancel Data Collection - Applied Biosystems Sequencing Analysis Software v5.2 - Applied Biosystems SeqScape Software

More information

SonicWALL SSL-VPN 4000 導入ガイド

SonicWALL SSL-VPN 4000 導入ガイド COMPREHENSIVE INTERNET SECURITY SonicWALL セキュリティ装置 SonicWALL SSL-VPN 4000 導入ガイド 1 2 3 4 5 6 7 8 9-1 2 - 3 1 4 - 5 2 1. 2. 3 6 3 1. 2. 3. 4. 5. - 7 4 4 8 1. 2. 3. 4. 1. 2. 3. 4. 5. - 9 6. 7. 1. 2. 3. 1.

More information

360_h1_4.ai

360_h1_4.ai 2008 EA Digital Illusions CE AB. Mirror's Edge and the DICE logo are trademarks or registered trademarks of EA Digital Illusions CE AB. All Rights Reserved. EA and the EA logo are trademarks or registered

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

LB IC Semiconductor Components Industries, LLC, 2013 August, 2013

LB IC Semiconductor Components Industries, LLC, 2013 August, 2013 http://onsemi.jp IC Semiconductor Components Industries, LLC, 2013 August, 2013 Δ Δ Δ μ μ μ Δ μ Δ μ μ μ μ μ μ μ μ μ Δ Δ μ μ μ μ μ μ μ μ μ μ μ 36 19 0.5 5.6 7.6 1 0.3 18 0.2 15.0 1.5 1.7max (0.7) 0.8 0.1

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

TOEIC(R) Newsletter

TOEIC(R) Newsletter June 2009 No.105 TOEIC Newsletter TOEIC Newsletter No.105 June 2009 2 TOEIC Newsletter No.105 June 2009 3 4 TOEIC Newsletter No.105 June 2009 TOEIC Newsletter No.105 June 2009 5 6 TOEIC Newsletter No.105

More information

MAX11014 EV.J

MAX11014 EV.J 19-4147; Rev 1; 9/08 PART MAX11014EVKIT+ TYPE EV Kit DESIGNATION QTY DESCRIPTION C1, C8, C11 C14, C18, C22, C23, C34 C43, C45, C51 C2, C7, C15, C16, C17, C21, C26, C27, C31, C33, C44, C46, C47, C48, C52,

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

Dolphin 6110 Quick Start Guide

Dolphin 6110 Quick Start Guide Dolphin TM 6110 モバイルコンピュータ クイックスタートガイド Dolphin 6110 モバイルコンピュータ ªªªªª v t ª ª ªªª v Dolphin 6110 ªª ª ªªªªªª ( ) ª ª ªªªªª ªªª (3.7 V ªª ª ª ) AC «KSAS0100500200D5 :100-240V AC 50/60Hz 0.4 A : 5 V DC 2.0

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

ベース0516.indd

ベース0516.indd QlikView QlikView 2012 2 qlikview.com Business Discovery QlikTech QlikView QlikView QlikView QlikView 1 QlikView Server QlikTech QlikView Scaling Up vs. Scaling Out in a QlikView Environment 2 QlikView

More information

MIDI_IO.book

MIDI_IO.book MIDI I/O t Copyright This guide is copyrighted 2002 by Digidesign, a division of Avid Technology, Inc. (hereafter Digidesign ), with all rights reserved. Under copyright laws, this guide may not be duplicated

More information

    

     The Intelligent Technology Company ALTERA CPLD/FPGA ELS5004_S000_10 2006 4 ALTERA CPLD/FPGA...3...3 - Absolute Maximum Ratings...3 - Recommended Operating Conditions...4 - DCDC Operating Conditions...4

More information

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN Xenoma GENKEI Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda 2013 3D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN 2016 Honda EV 2 Autodesk Fusion 360

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

Parallels Desktop 7 クイックスタートガイド

Parallels Desktop 7 クイックスタートガイド PD7M-P1-411-1 Parallels Desktop 7 for Mac Parallels Desktop 7 for Mac および Parallels Desktop 7 Switch to Mac Edition 兼用 クイック スタート ガイド Copyright 1999-2011 by Parallels Holdings, Ltd. and its affiliates.

More information

M-crew for HAR-LH500 (Version 2.6J)

M-crew for HAR-LH500 (Version 2.6J) 4-249-905-01 (1) M-crew for HAR-LH500 Version 2.6J M-crew Readme Readme M-crew for HAR-LH500 Readme 2003 Sony Corporation Program 1997-2003 Sony Corporation Documentation 2003 Sony Corporation 2003 Sony

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

untitled

untitled Unify NXJ Release 12 2002-2008 Unify Corporation All rights reserved. Sacramento California, USA No part of this tutorial may be reproduced, transmitted, transcribed, stored in a retrieval system, or translated

More information

Frequently Asked Questions (FAQ) About Sunsetting the SW-CMMR

Frequently Asked Questions (FAQ) About Sunsetting the SW-CMMR SW-CMM FAQ(Frequently Asked Questions) SEI Frequently Asked Questions (FAQ) About Sunsetting the SW-CMM The SEI Continues Its Commitment to CMMI SEI SEI SEI PDF WWW norimatsu@np-lab.com 2002/11/27 SEI

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

GT-F740/GT-S640

GT-F740/GT-S640 NPD4743-00 JA ...5 EPSON Scan... 5 Document Capture Pro / Document Capture...11...14 EPSON Scan...14 PDF...18 OCR...18...19...19...21 /...21...22...23 GT-F740...24...24...25...26...26...26...27 PDF...28...30

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

LB11921T OA 3 Semiconductor Components Industries, LLC, 2013 August, 2013

LB11921T OA 3   Semiconductor Components Industries, LLC, 2013 August, 2013 OA3 http://onsemi.jp Semiconductor Components Industries, LLC, 2013 August, 2013 μ μ μ Δ μ μ μ Δ Δ μ μ μ μ μ μ Δ μ μ Ω Δ μ μ Ω Δ μ μ Ω Δ μ μ Ω 9.75 36 19 1 18 (0.5) 0.18 0.15 (0.63) SANYO : TSSOP36(275mil)

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

名称未設定

名称未設定 Parallels Desktop 6 for Mac Read Me Parallels Desktop for Mac build 6.0.11822 Parallels Desktop for Mac 1.Parallels Desktop for Mac 2. 3. 4. 5. Parallels Desktop 6. Parallels Desktop 6 for Mac 7. Parallels

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

> > > > > はじめに

> > > > > はじめに > > > > > NETWORK AV RECEIVER TX-L50 > > > > > はじめに 0 0 0 0 0 0 2 > > > > > Í P6 P30 4 3 3 > > > > > 90 180 4 > > > > > Í P49 P53 P30 30 30 5 > > > > > Neo:6 P64 6 > > > > > 2 1 e e e 7 > > > > > 1 0 e

More information

> > > > > はじめに

> > > > > はじめに > > > > > NETWORK STEREO RECEIVER SX-S30 > > > > > はじめに 0 0 0 0 0 0 0 2 > > > > > Í P24 P6 P34 P35 3 > > > > > 90 180 4 > > > > > Í P26 P24 30 30 5 > > > > > Neo:6 P35 6 > > > > > 1 1 12 mm 2 3 2 P45 a

More information

ES-D400/ES-D350

ES-D400/ES-D350 NPD4650-00 ...4 EPSON Scan... 4 Document Capture Pro Windows... 7 EPSON Scan...10 EPSON Scan...10...14 PDF...15 / EPSON Scan...17 EPSON Scan...17 EPSON Scan...18 EPSON Scan...18 Document Capture Pro Windows...19

More information

JABRA BT

JABRA BT USER MANUAL ....................................................... 2 JABRA BT3030..................................... 2............................................ 3...........................................................

More information

untitled

untitled TZ-BDT910M TZ-BDT910F TZ-BDT910P μ μ μ μ TM VQT3F51-1 l l l [HDD] [BD-RE] [BD-R] [DVD-V] [BD-V] [RAM] [CD] [SD] [-R] [USB] [-RW] [RAM AVCREC ] [-R AVCREC ] [RAM VR ][-R VR ] [-RW VR ] [-R V ] [-RW

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

untitled

untitled VQT3B82-1 DMP-BDT110 μ μ μ 2 VQT3B82 ÇÕÇ¹Ç Ç +- VQT3B82 3 4 VQT3B82 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 ij SD 1 2 3 4 5 6 7 8 Í VQT3B82 5 BD DVD CD SD USB 6 VQT3B82 2 ALL 1 2 4 VQT3B82 7

More information

SpecimenOTKozGo indd

SpecimenOTKozGo indd TM The Kozuka Gothic TM typeface family is composed of six weights that cover various uses ranging from body text composition to headline compositions. This typeface family is now available in OpenType

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

Oracle データベース移行計画アセスメント:移行前

Oracle データベース移行計画アセスメント:移行前 OpenVMS Alpha to Integrity Transition Module V1.2 IT 6 Rev 1.2 1 OracleRdbRDBMS RDBMS Oracle Oracle Rdb Rdb Oracle Rdb Oracle Oracle Oracle OpenVMS Alpha Oracle9iRAC Intel Itanium 2 HP Integrity 2 Rev.

More information

> > > > > はじめに

> > > > > はじめに > > > > > AV RECEIVER VSX-S520 > > > > > はじめに 0 0 0 0 0 0 2 > > > > > Í P6 3 > > > > > 90 180 4 > > > > > Í P40 P30 30 30 5 > > > > > Neo:6 P51 6 > > > > > 2 1 e e e 7 > > > > > 1 0 e e 8 > > > > > 1 0

More information

Huawei G6-L22 QSG-V100R001_02

Huawei  G6-L22 QSG-V100R001_02 G6 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 1 2 3 17 4 5 18 UI 100% 8:08 19 100% 8:08 20 100% 8:08 21 100% 8:08 22 100% 8:08 ********** 23 100% 8:08 Happy birthday! 24 S S 25 100% 8:08 26 http://consumer.huawei.com/jp/

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

Cd: 0 25% 90 75 50% 60 75% 100% 0 15 30 45 XB-9 LED (lm) @100% (lm/w) ( ) 298.76 1384.38 24.1 XB-18 ( ) 610.64 2750.34 24.6 XB-27 ( ) 912.34 3930.08 24.5 XB-36 ( ) 1235.90 5252.61 24.9 Nano Liner Allegro

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

! " # $ % & ' ( ) +, -. / 0 1 2 3 4 5 6 7 8 9 : ; < = >? @ A B C D E F G H I J K L M N O P Q R S T U V W X Y Z [ ] ^ _ ` a b c d e f h i j k l m n o p q r s t u v w x y z { } ~ This product is

More information

AN 74: アルテラ・デバイスの消費電力評価方法

AN 74: アルテラ・デバイスの消費電力評価方法 AN 74: Evaluating Power for Altera Devices 1998 1 ver.2 Application Note 74 P EST = P INT + P IO P INT = I CCINT V CCINT P IO = P ACOUT + P DCOUT P EST = (I CCINT V CCINT ) + (P ACOUT + P DCOUT ) Altera

More information

EPSON EP-803A/EP-803AW ユーザーズガイド

EPSON EP-803A/EP-803AW ユーザーズガイド NPD4293-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...30...30...31...31...31...35

More information

PX-403A

PX-403A NPD4403-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...27...27...28...28...28...32 Web...32...32...35...35...35...37...37...37...39...39...40...43...46

More information

EPSON EP-703A ユーザーズガイド

EPSON EP-703A ユーザーズガイド NPD4295-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...30...30...31...31...34

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

EP-704A

EP-704A NPD4533-01 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...29...30...30...31...34

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

2

2 8 23 32A950S 30 38 43 52 2 3 23 40 10 33 33 11 52 4 52 7 28 26 7 8 8 18 5 6 7 9 8 17 7 7 7 38 10 12 9 23 22 22 8 53 8 8 8 8 1 2 3 17 11 52 52 19 23 29 71 29 41 55 22 22 22 22 22 55 8 18 31 9 9 54 71 44

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

NetVehicle GX5取扱説明書 基本編

NetVehicle GX5取扱説明書 基本編 -GX5 1 2 3 4 5 6 7 8 # @(#)COPYRIGHT 8.2 (Berkeley) 3/21/94 All of the documentation and software included in the 4.4BSD and 4.4BSD-Lite Releases is copyrighted by The Regents of the University of California.

More information

Copyright 2002-2003 SATO International All rights reserved. http://www.satoworldwide.com/ This software is based in part on the work of the Independen

Copyright 2002-2003 SATO International All rights reserved. http://www.satoworldwide.com/ This software is based in part on the work of the Independen SATO Label Gallery SATO International Pte Ltd Version : BSI-021227-01 Copyright 2002-2003 SATO International All rights reserved. http://www.satoworldwide.com/ This software is based in part on the work

More information

ユーザーズマニュアル

ユーザーズマニュアル 1 2 3 4 This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC *1 will not be held responsible for any consequences resulting

More information

EPSON PX-503A ユーザーズガイド

EPSON PX-503A ユーザーズガイド NPD4296-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...28...29...29...30...33

More information

CANON_IT_catalog_1612

CANON_IT_catalog_1612 Image processing products Hardware /Software MatroxRadient Pro CL 7 HDR-26 HDR-26 Data Clock CC [4] UART Data Clock CC [4] UART Camera Link Interface w/ PoCL Camera Link Interface w/ PoCL Image Reconstruction

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

PX-504A

PX-504A NPD4537-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...15...16...16...18...19...20!ex...20 /...21 P.I.F. PRINT Image Framer...21...22...26...26...27...27...27...31

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information