Presentation Title

Size: px
Start display at page:

Download "Presentation Title"

Transcription

1 モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1

2 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介 まとめ 2

3 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder の最新機能紹介 まとめ 3

4 回路規模増大に伴って変化してきたデザインレベル 時代 1980 年代 1990 年代 2000 年代 2010 年代 回路規模 ゲート デザインレベル Tr ゲート RTL ESL? 4

5 多様化するデザイン環境 従来の方法 VHDL/Verilog モデルベース 高位合成 ANSI-C/SystemC 5

6 モデルベースデザイン :S/W H/W 用コード生成 検証機能 コード生成 Processor アルゴリズム検討 システム設計 実装 FPGA/ASIC and Toolbox and Blockset 検証 VHDL, C, C++ SystemC Verilog SoC Virtual Platform テスト & 検証 アルゴリズム検討 システム設計から実装を統合 ターゲット依存しないモデル コード生成と検証 シームレスに実装 6

7 FPGA/ASIC 実装ツール Simulink HDL Coder TM / EDA Simulator Link TM アルゴリズム Simulink, Embedded MATLAB Data Path Algorithm 生成 検証 Control Logic CPU, Controller, Bus IF ステートマシン VHDL/Verilog Stateflow, Embedded MATLAB FPGA ASIC 7

8 Simulink HDL Coder ユーザ数推移とアプリケーション分類 ユーザ数推移 アプリケーション分野分類 その他 信号処理 通信 2007H1 2007H2 2008H1 2008H2 2009H1 2009H2 2010H1 2010H2 制御 画像処理 順調にユーザ数は増加 アプリケーション分野は制御が多く 信号処理 通信 画像が同程度の割合 日本語ドキュメントの配布 ワークショップ開催 ( オフサイト / オンサイト ) 8

9 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder の最新機能紹介 まとめ 9

10 A 社の事例紹介 Simulink HDL Coder 適用前 アプリケーション 設備監視装置 : 制御および通信システム設計 ターゲットデバイス FPGA イメージ図 適用前の問題点 HDL を書ける一部のエンジニアが FPGA 開発繁忙期には後回しになる作業も HDL の開発資産は再利用性低い : 解読が困難 10

11 問い 1:HDL ソースコードを解読して下さい // Section assign numtypeconvert1 = (scale1[32:0] + {scale1[17], {16{~scale1[17]}}})>>>17; assign dentypeconvert1 = (a1sum1[27:0] + {a1sum1[12], {11{~a1sum1[12]}}})>>>12; (posedge clk or posedge reset) begin: numdelay_process_section1 if (reset == 1'b1) begin numdelay_section1[0] <= 16'b ; numdelay_section1[1] <= 16'b ; end else begin if (enb == 1'b1) begin numdelay_section1[1] <= numdelay_section1[0]; numdelay_section1[0] <= numtypeconvert1; end end end // numdelay_process_section1 (posedge clk or posedge reset) begin: dendelay_process_section1 if (reset == 1'b1) begin dendelay_section1[0] <= 16'b ; dendelay_section1[1] <= 16'b ; end else begin if (enb == 1'b1) begin dendelay_section1[1] <= dendelay_section1[0]; dendelay_section1[0] <= dentypeconvert1; end end end // dendelay_process_section1 assign a2mul1 = dendelay_section1[0] * coeff_a2_section1; 11

12 問い 1: ソースコードを解読して下さい #include "Filter.h" #include "DUT.h" #include "DUT_private.h" void DUT_Filter(void) { int32 tmp; int32 tmp_0; int32 tmp_1; DUT_B.A2Delay1 = DUT_DWork.A2Delay1_DWORK1; DUT_B.B2Delay1 = DUT_DWork.B2Delay1_DWORK1; tmp = ((DUT_U.In1 + 1) >> 1) * DUT_P.s1_Gain; DUT_B.s1 = (((((tmp & 32768)!= 0) && ((tmp & 32767)!= 0)) + (tmp >> 16)) + (((tmp & 65535) == 32768) && ((tmp & 65536)!= 0))); tmp = DUT_P.b21_Gain * DUT_B.B2Delay1; tmp_0 = DUT_P.a21_Gain * DUT_B.A2Delay1; tmp_1 = DUT_P.a31_Gain * DUT_DWork.A3Delay1_DWORK1; tmp = (((((((((tmp & 2)!= 0) && ((tmp & 1)!= 0)) + (tmp >> 2)) + (((tmp & 3) == 2) && ((tmp & 4)!= 0))) + (DUT_B.s1 << 11)) + (DUT_DWork.B3Delay1_DWORK1 << 11)) << 1) - (((((tmp_0 & 2)!= 0) && ((tmp_0 & 1)!= 0)) + (tmp_0 >> 2)) + (((tmp_0 & 3) == 2) && ((tmp_0 & 4)!= 0)))) - (((((tmp_1 & 2)!= 0) && ((tmp_1 & 1)!= 0)) + (tmp_1 >> 2)) + (((tmp_1 & 3) == 2) && ((tmp_1 & 4)!= 0))); DUT_B.CastStates1 = (((((tmp & 2048)!= 0) && ((tmp & 2047)!= 0)) + (tmp >> 12)) + (((tmp & 4095) == 2048) && ((tmp & 4096)!= 0))); DUT_Y.Out2 = (((((DUT_B.CastStates1 & 8)!= 0) && ((DUT_B.CastStates1 & 7)!= 0)) + (DUT_B.CastStates1 >> 4)) + (((DUT_B.CastStates1 & 15) == 8) && ((DUT_B.CastStates1 & 16)!= 0))); DUT_DWork.A2Delay1_DWORK1 = DUT_B.CastStates1; DUT_DWork.A3Delay1_DWORK1 = DUT_B.A2Delay1; DUT_DWork.B2Delay1_DWORK1 = DUT_B.s1; DUT_DWork.B3Delay1_DWORK1 = DUT_B.B2Delay1; } 12

13 問い 2: ブロック線図を解読して下さい 答え IIR フィルタ 13

14 A 社の事例紹介 Simulink HDL Coder 適用後 FPGA 開発者が増加 HDL 書けない人も FPGA 開発が出来るようになった 繁忙期でも FPGA 開発に対応 オリジナルブロックをライブラリ化 既存の Simulink ライブラリにないブロックをライブラリ化 パラメータ入力で使用可能 14

15 カスタムブロックのライブラリ化 サブシステムを右クリックして [ マスクの編集 ] を選択するとマスクエディタが起動する マスクサブシステムの内部を表示するには [ マスクブロックのモデル表示 ] を選択する サブシステムのマスク (S) 15

16 カスタムブロックのライブラリ化マスクエディタ アイコンと端子アイコンのカスタマイズを行います [ 描画コマンドの例 ] を参考にして [ アイコンを描画するコマンド ] に記述します パラメータパラメータのプロンプトの定義と説明を行い パラメータが格納される変数名を設定します 16

17 カスタムブロックのライブラリ化マスクエディタ ドキュメンテーションマスクサブシステムの説明文やヘルプドキュメントを記述します それぞれ左のパラメータウィンドウと対応しています 17

18 カスタムブロックのライブラリ化ライブラリ化のメリット カスタムブロックはマスクブロック化してライブラリ化することで配布や管理に役立ちます ライブラリは次のような特徴があります ライブラリ内のブロックを変更すると参照するモデルが同様に変更される mdl ファイルにはライブラリ化されたブロック内部の情報まで記述されないため mdl ファイル容量を小さく出来る Simulink ライブラリブラウザにユーザライブラリを登録して複数エンジニアで資産共有しやすくなる 18

19 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder の最新機能紹介 まとめ 19

20 B 社の事例紹介 Simulink HDL Coder 適用前 アプリケーション モータ制御用コントローラ IC ターゲットデバイス FPGA プロトタイプ ~ASIC 適用前の問題点 実機で動作確認のため工数大 ゲートレベル +Verilog で設計 論理とタイミング確認 FPGA+ モータ実機で試行錯誤 20

21 B 社の事例紹介 Simulink HDL Coder 適用後 テストベンチとしての Simulink 環境 SimPowerSystems TM ブロックによりモータの動作確認 シミュレーションで動作検証することでやり直しが低減 Motor Amp Controller Sensor 21

22 フィジカルモデリングツール SimPowerSystems SimPowerSystems SimMechanics SimDriveline SimHydraulics SimElectronics パワーエレクトロニクス関連の Simulink オプションブロックライブラリ 数式ではなく 回路図的にモデリングが可能 Simscape MATLAB, Simulink ライブラリ : モータ, 受動素子, 能動素子, AC/DC 電源, バッテリ, コントローラ 22

23 B 社の事例紹介 Simulink HDL Coder 適用後 モータ ( 制御対象側 ) の特性バラつきをシミュレーションで再現 特性のバラつきを与えてモータの挙動を確認 実機ではバラつきを与えるのは困難 23

24 B 社の事例紹介 Simulink HDL Coder 適用前後のフロー比較 Verilog 記述 Quartus II 論理合成 FPGA 実機繰返し確認 Simulink モデル作成 Quartus II 論理合成 FPGA 実機最終確認 前 : 実機で動作確認して Verilog に戻る 後 : モデルでモータ動作まで確認 FPGA は最終確認 横軸は実際の時間に比例するものではありません イメージ図です 24

25 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder の最新機能紹介 まとめ 25

26 C 社の事例紹介 Simulink HDL Coder 適用前 アプリケーション デジタルカメラ用画像処理 IC ターゲットデバイス FPGA プロトタイプ ~ カスタム IC 適用前の問題点 手戻りが多く 時間がかかっていた 紙の仕様書 +C シミュレーションで顧客に提案 Verilog 記述 +FPGA プロトタイプ C SystemC などもトライしたが 26

27 C 社の事例紹介 Simulink HDL Coder 適用後 高抽象度モデリングによるアルゴリズム検証 Video and Image Processing Blockset TM の利用 モデリング後すぐにシミュレーションで結果確認 デザインレビューや顧客への提案に利用できる 27

28 C 社の事例紹介 Simulink HDL Coder 適用後 既存ブロックだけでなく Embedded MATLAB の併用により柔軟性が UP Simulink に埋め込んだ MATLAB コードからも HDL 生成できます 28

29 Embedded MATLAB 組込みに対応したMATLAB 関数 Simulink HDL CoderによりHDL 生成 Real-Time Workshop によりCコード生成 variable-sized data functions arrays struct Embedded numeric fixed-point complex sparse objects MATLAB cellarrays varargin/varargout java nested functions visualization analysis C HDL 29

30 C 社の事例紹介 Simulink HDL Coder 適用後 高抽象度モデル (VIP モデル ) と HDL 用低抽象度モデル (Pixel Stream) の等価性検証が容易 高抽象度 HDL 生成用 注 VIP:Simulink オプション Video and Image Processing Blockset HDL コシム 30

31 担当エンジニア M 様のコメント 仕様検討からプロトタイピングまでが 1/7 に低減しました C, SystemC などの上位設計言語と比較してブロック線図での設計はハード設計者向きだと思います 紙仕様書 +C シミュレーション :2 ヶ月 1/7 Simulink HDL Coder : 1 週間 +α 31

32 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder の最新機能紹介 まとめ 32

33 日立情報通信エンジニアリング様の事例紹介画像処理向けテストベンチ環境構築 アプリケーション 画像処理プロトタイピング環境 ターゲットデバイス FPGA 取り組んだ内容 Simulink 画像処理モジュールを FPGA に組込み 画像アルゴリズム検証用高解像度リアルタイムテストベンチ環境を構築 33

34 1. ユーザアルゴリズムの作成 HD-SDI YCbCr 422:444 変換 YCbCr RGB 変換 7 x 7 フィルタ PC サブサンプリング RGB YCbCr 変換 HD-SDI Simulink でユーザアルゴリズムを作成時間短縮のため小さい画像でシミュレーション 34

35 2. Simulink モデルで検証および HDL 生成 係数 動作設定 画像での検証が可能 AVI Pixel Stream Video and Image Processing Blockset を使用 画像処理モデル (RTL 生成対象 ) HDL Coder HDL Frame Conversion PC 用映像表示 HD-SDI 用映像表示 Video and Image Processing Blockset を使用 35

36 3. ALTERA SOPC Builder 用モジュールの作成 Avalon-MM レジスタファイル Avalon-ST インターフェース ( 同期信号生成 バッファ ) 生成した HDL インターフェース ( バッファ ) インターフェース ( バッファ ) Avalon-ST ラッパを作成して SOPC Builder に登録 SOPC Builder は ALTERA 社のシステム統合ツールです 36

37 4. 画像処理開発プラットフォームへの組込み NIOS II プロセッサ HD-SDI HD-SDI 入力 IP Avalon-ST 映像入力 DMA Avalon-MM レジスタアクセス 画像処理モジュール Avalon-ST 映像出力 DMA PC DMA DMA PCIeコア HD-SDI HD-SDI 出力 IP Avalon-MM DDR3 メモリコントローラ 37

38 5. 画像処理向けテストベンチ環境実機動作確認 PC 上でパラメータ変更 Input Unsharp Low Pass Filter Edge HD-SDI 出力と PC 上で動作確認 パラメータ変更したアルゴリズムを同時に結果確認 38

39 担当エンジニア清水様のコメント Simulink 上で検証できたため RTL 生成および FPGA 合成において後戻りが 1 度もありませんでした そのため 大幅に工数を低減できました Simulink モデル作成 検証 :2.5 日 実機動作確認工数 :2.5 日 39

40 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder の最新機能紹介 まとめ 40

41 Simulink HDL Coder 機能向上の推移 追加された機能と機能数 2006 年 12 月 Ver1.0 リリース 2006 年 β テスト開始 R2007a EML サポート Stateflow をフルサポート R2008a Comms ブロックサポート R2007b マルチレートサポート R2008b FFT ブロックサポート Filter 係数最適化 R2009a Enabled Subsystem ブロックサポートトレーサビリティレポート R2010b : Ver 2.0 ALTERA ワークフローアドバイザリソースシェアリング R2010a XILINX ワークフローアドバイザ CORDIC ブロックサポート R2009b コシミュレーションモデル生成 Global Oversampling Clock バージョンアップ毎に新機能を追加 R2010b で Ver 2.0 にメジャーバージョンアップ 41

42 R2010b 新機能 : リソースシェアリング 同じモデルからパラメータ設定により時分割リソースシェアリングが可能 サブシステム内の乗算器 Atomic Subsystem 内の演算器に適用可能 並列処理の場合 データ依存の場合 42

43 R2010b 新機能 : リソースシェアリング 同じモデルからパラメータ設定により時分割リソースシェアリングが可能 サブシステム内の乗算器 Atomic Subsystem 内の演算器に適用可能 並列処理の場合 入力を切り替えながら尐ない乗算器で処理 データ依存の場合入力を切り替えながら尐ない乗算器で処理 43

44 リソースシェアリング機能により同一モデルで面積 速度の最適化 同じモデルから生成した HDL コードの論理合成結果比較 Parallel Serial Partly Serial(2) HDL 生成タイプ Partly Serial(4) Partly Serial(8) Partly Serial(16) 短時間でバリエーションに富んだコードを生成 Distributed Arithmetic CLB Slices 2, ,054 1,228 1,026 FFs or Latches 4,621 1,885 1,938 1,961 2,107 2,455 2,052 Function Generators 2, ,483 1,552 1,693 1,552 DSP Block Max Freq(MHz)

45 ユーザ様から頂いた要望の反映 HDL ワークフローアドバイザ モデルチェック HDL 生成 合成 配置配線までを統合 Xilinx ISE / Altera Quartus II と連携 ハードウェア設計の経験が無くても設計出来るように 最大遅延パス ( クリティカルパス ) をハイライト クリティカルパスを表示して修正すべき点を指示 45

46 まとめ モデルベースデザイン環境のメリットをご紹介 ライブラリ化による資産共有 マルチドメイン開発環境 ~モータ動作検証 シミュレーションでの早期検証 コード生成だけでなく統合検証環境として 高抽象度モデル~ 低抽象度モデル~HDLの検証 ユーザ共通の導入効果 : 開発期間を大幅に短縮 46

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ

VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ VHDL/Verilog によるコードベースから Simulink によるモデルベースへ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 2012 The MathWorks, Inc. 1 出展 : 日本経済新聞 2012/7/3 記事 2 アジェンダ HDL プロダクツ概要と採用実績 HDL 生成と検証のための基本機能 より高度な使い方設定による回路パフォーマンスの違い

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

画像処理回路のASIC実装へ向けたHDL Coder適用事例

画像処理回路のASIC実装へ向けたHDL Coder適用事例 画像処理回路の ASIC 実装へ向けた HDL Coder 適用事例 ~ 手書き HDL コード vs コード生成ツール ~ ルネサスシステムデザイン株式会社 部署名 第一要素技術事業部ロジックIP 開発部 氏名 神谷衛 2013/10/29 2013 Renesas System Design Co., Ltd. All rights reserved. 1 / 30 Agenda 会社紹介 画像コア開発の課題

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

福岡カーエレ研究会

福岡カーエレ研究会 モデルベース開発の業界動向 2011.10.14 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB EXPO 2018 Japan 無線モデム FPGA/SoC 開発における HDL Coder TM の活用事例 2018/10/30 NECネットワーク センサ株式会社技術開発本部通信ネットワーク技術部主任 / プロダクトスペシャリスト住田憲昭 1 NEC Network and Sensor Systems, LTD. 2018 目次 1. 会社紹介 / 自己紹介 2. 防衛事業の技術課題とHDL

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

g2-soc-fpga-implementation-mw

g2-soc-fpga-implementation-mw HW/SW のパフォーマンス解析 最適化および協調設計 MathWorks Japan アプリケーションエンジニアリング部松本充史 2015 The MathWorks, Inc. 1 よくある質問 @ コード生成ツール 最適化された C/HDL コードが生成されますか? 各種アルゴリズム沢山詰め込みたい マルチコア CPU でマルチタスクのシミュレーションできますか? モータ制御における複数のタスク

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

モデルベースデザイン(MBD)を始めましょう!

モデルベースデザイン(MBD)を始めましょう! モデルベースデザイン (MBD) を始めましょう! MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア村上直也 2014 The MathWorks, Inc. 1 モデルベースデザイン (MBD) を始めましょう! ますます増えていくスマートシステム多機能なソフトウェアモデルベースデザイン開発プロセスを加速 2 はじめに スマートシステムの増加 ソフトの高機能化

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望 MATLAB/Simulink を利用したモデルベース開発のトレンドと将来展望 2009 年 5 月 21 日 ( 木 ) 2009 CYBERNET SYSTEMS CO.,LTD. All Rights Reserved. アジェンダ はじめに The MathWorks MATLABプロダクトファミリ 自動車業界での利用 モデルベース開発 モデルベース開発とは 導入効果 開発プロセスのトレンド

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a MATLAB コードを使用した C コードの生成クイックスタートガイド (R2016a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには [ ビルド ] を [ ソースコード ] [ スタティックライブラリ ] [ ダイナミックライブラリ ] または [ 実行ファイル ] のいずれかに切り替えます MATLAB Coder を使用することで MATLAB コードから

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

Presentation Title

Presentation Title 次世代 Simulink が導入する 新 MBD 環境 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア山本順久 2012 The MathWorks, Inc. 1 これまでの歴史 5 年ぶりのメジャーバージョンアップ! 2002 R13 Simulink Stateflow 5.0 2004 R14 Simulink Stateflow 6.0

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

組込みシステムにおける UMLモデルカタログの実践研究

組込みシステムにおける UMLモデルカタログの実践研究 Modeling Forum 2015 組込みシステムの設計実装への モデルカタログの活用 仙台高等専門学校 情報システム工学科 力武克彰, 新村祐太 ( 豊橋技科大 ), 菊池雄太郎 ( 仙台高専 ) 概要 組込み分野のための UML モデルカタログ (*) のモデルを実装してみました (* 以下 モデルカタログと呼びます ) 2 概要 モデルカタログ : 目標制御モデル モデルカタログより引用

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

アルゴリズム開発からHDL実装へのパス

アルゴリズム開発からHDL実装へのパス 2009-2012 The MathWorks, Inc. HDL Coder HDL Verifier R2006b-R2013a 新機能紹介 R2012a 以降の HDL プロダクト名称変更 Simulink HDL Coder MATLAB からの HDL 生成機能 HDL Coder MATLAB Coder が必須 EDA Simulator Link System Object FIL

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

Managing and Sharing MATLAB Code

Managing and Sharing MATLAB Code MATLAB 入門 ~ 開発向けプログラミング編 ~ MathWorks Japan アプリケーションエンジニアリング部テクニカルコンピューティング 大開孝文 2015 The MathWorks, Inc. 1 プログラミング言語としての MATLAB 2014 年 7 月 IEEE Spectrum による プログラミング言語の人気調査 (12 種類の項目での結果 ) 結果 : MATLAB が

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

生成された C コードの理解 コメント元になった MATLAB コードを C コード内にコメントとして追加しておくと その C コードの由来をより簡単に理解できることがよくありま [ 詳細設定 ] [ コード外観 ] を選択 C コードのカスタマイズ より効率的な C コードを生成するベストプラクテ

生成された C コードの理解 コメント元になった MATLAB コードを C コード内にコメントとして追加しておくと その C コードの由来をより簡単に理解できることがよくありま [ 詳細設定 ] [ コード外観 ] を選択 C コードのカスタマイズ より効率的な C コードを生成するベストプラクテ MATLAB コードを使用した C コードの生成クイックスタートガイド (R2012a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには MATLAB Coder のペインを [ ビルド ] に切り替えて [C/C++ スタティックライブラリ ] [C/C++ ダイナミックライブラリ ] または [C/C++ 実行ファイル ] のいずれかを選択しま MATLAB Coder

More information

??

?? Real-time Remote Control & Monitoring System EDUNET HYBRID EDITION 製品紹介 創造デザイン http://sozodg.com Real-time Remote Control & Monitoring System 1. 概要 1) EduNet Hybrid System は設備 PC の CPU リソースを使用せずに Full

More information

HEVの車両全体シミュレーションによるシステム最適化

HEVの車両全体シミュレーションによるシステム最適化 HEV の車両全体シミュレーションによるシステム最適化 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2016 The MathWorks, Inc. 1 マルチモードハイブリッド自動車 Engine Mode EV Mode SHEV Mode 1. Higuchi, N., Sunaga, Y., Tanaka, M., Shimada, H.:

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

USRPセットアップ

USRPセットアップ MATLAB and Simulink Support Package for USRP Radio セットアップ資料 MathWorks Japan 田中明美 akemi.tanaka@mathworks.co.jp 2012 The MathWorks, Inc. 1 アジェンダ セットアップの前に サポートされているハードウェア 動作チェック環境 制限事項 提供されるドライバ セットアップ MATLAB

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx MATLAB EXPO 2014 アルゴリズムと RTL を統合した 検証プラットフォームの 開発と適用 2014 年 10 月 29 日 NECプラットフォームズ ( 株 ) 福井祥布 MathWorks Japan 柴田克久 - アジェンダ - 1. はじめに NEC プラットフォームズ ( 株 ) の紹介 by 福井 モデルベースデザインによる FPGA/ASIC 開発 by 柴田 2. ソフトウエア無線の設計技術動向

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

MAC61、MAC64、GAC61 改造仕様書

MAC61、MAC64、GAC61 改造仕様書 1/14 μgpcdsp シリーズ TDdsPMonitor( ユーティリティ マニュアル ) 発行部門 産業事業部産業工場デジタル開発チーム 年月日来歴適用製命訂正ヘ ーシ 承認調査作成 新規発行 TDdsPMonitor( ユーティリティ マニュアル ) 2/14 目次 1. 概要 -----------------------------------------------------------------

More information

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP SiTCP ライブラリ 第 1.1 版 2012 年 10 月 24 日 内田智久 Electronics system group, IPNS, KEK 1 / 12 履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/24 1.1 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Expo 2014

Expo 2014 物理層 &MAC 層のマルチレイヤシミュレーションとコード生成 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア田中明美 2014 The MathWorks, Inc. 1 Go-Back-N ( クロスレイヤモデル ) BER/PER 特性の確認 Bit レベルで雑音を加えているため PER だけでなく BER も評価可能 2 Go-Back-N

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Presentation Title

Presentation Title 並列計算 並列実行による高速化ソリューション MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア吉田剛士 2012 The MathWorks, Inc. 1 アジェンダ MATLAB R2012b ハイライト PCT / MDCS 新機能ハイライト Simulink プロダクトの並列化 まとめ 2 MATLAB R2012b のハイライト 1 新しいデスクトップ

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

物理モデリングツールによる モデリングとシミュレーション入門

物理モデリングツールによる モデリングとシミュレーション入門 Simscape TM による物理モデリング入門 ~Simscape + Sim シリーズの紹介 ~ MathWorks Japan アプリケーションエンジニア 渡辺修治 基本ツール MATLAB Simulink Stateflow 便利ツール Simulink Coder TM Simulink Control Design TM Simulink Design Optimization TM

More information

Presentation Title プレゼンテーションのタイトル

Presentation Title プレゼンテーションのタイトル MATLAB/Simulink を用いた次世代イメージングシステムの FPGA 実装 2016 年 10 月 19 日オリンパス株式会社技術開発部門モバイルシステム開発本部画像技術部吉崎和徳, 山田博 Agenda 1. オリンパス概要 ( 吉崎 ) 2. 次世代イメージングシステム研究開発 ( 吉崎 ) 3. MATLAB/Simulink を用いた FPGA 実装 ( 山田 ) 4. まとめ (

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

DesignRangeCheckExpr Insertion Discussion

DesignRangeCheckExpr Insertion Discussion C プログラマーのための Simulink 活用講座 ~Simulink を使った開発に迷うエンジニアへ ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア渡辺修治 2012 The MathWorks, Inc. 1 ショータくんは 何に悩んでいるの? 制御ロジックを理解したいけど データーフローが見にくい コードの全体像が把握できない ショータくん

More information

学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1

学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1 学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1 研究背景 映像機器の高機能化に伴う映像の高解像化 ーレティナディスプレイー 4K ハイビジョンテレビ 低解像度の映像コンテンツが数多く存在 4K テレビ 解像度を高める研究 ( 超解像 ) ー高速ー低コストー解像度の精度

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

いまからはじめる、MATLABによる 画像処理・コンピュータビジョン

いまからはじめる、MATLABによる 画像処理・コンピュータビジョン いまからはじめる MATLAB による画像処理 コンピュータビジョン MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア大谷卓也 2012 The MathWorks, Inc. 1 Demo: 顔検出 画像から 人間の顔認識を行い 数のカウントを行う 2 Demo: MRI Analysis モンタージュ画像からの 3 次元構築 3 MATLAB/Simulink

More information

Smarter Vision のための最もスマートな選択

Smarter Vision のための最もスマートな選択 Zynq All Programmable SOC : Smarter Vision Audi Kinect Xbox 360 Smarter Vision Smarter Vision Smarter Vision 10 ( ) Smarter Vision Smarter Vision Intuitive Surgical Smarter Vision Xilinx All Programmable

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

AI技術の紹介とセンサーデータ解析への応用

AI技術の紹介とセンサーデータ解析への応用 AI を活用したセンサーデータ解析 MathWorks Japan アプリケーションエンジニアリンググループアプリケーションエンジニア吉田剛士 2018 The MathWorks, Inc. 1 AI を活用したセンサーデータ解析 11:20-11:50 MATLAB による AI 作成 アプリを使った簡易的な解析 学習モデルのパラメータ自動調整 学習モデルのスタンドアロン化 2 課題 : ターボファンエンジンの予知保全

More information

スライド 1

スライド 1 2018 年 6 月 20 日 ( 水 ) 弘前工業高等学校情報技術科見学会 模擬講義 (40 分 09:45~10:30 458 プログラミング室 ) PLD 演習システム体験 弘前大学理工学部電子情報工学科一條健司 サポート学生浅野 (4 年 ) 日下部 (4 年 ) 高室 (4 年 ) 佐藤 ( 修士 2 年 ) 増田 ( 修士 2 年 ) 三ケ田 ( 修士 2 年 ) 印の椅子に着席して下さい

More information

IBM i ユーザーの課題 モバイルや IOT に対応した新しい開発案件への対応 RPG COBOL など既存アプリのメンテナンス 要員の確保 属人化しない運用 管理体制 2

IBM i ユーザーの課題 モバイルや IOT に対応した新しい開発案件への対応 RPG COBOL など既存アプリのメンテナンス 要員の確保 属人化しない運用 管理体制 2 Arcad ご紹介資料 三和コムテック株式会社 IBM i ユーザーの課題 モバイルや IOT に対応した新しい開発案件への対応 RPG COBOL など既存アプリのメンテナンス 要員の確保 属人化しない運用 管理体制 2 情報資産の継承と継続 24h365d 監視運用保守 Power プラットフォーム & クラウド Web インターフェースの利用モバイル対応 逆コンパイルソースコンバージョン 既存業務アプリケーション

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~ MATLAB/Simulink による AMS 活用事例 ~Mixed-Signal Library 2.0 のご紹介 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア竹本佳充 2012 The MathWorks, Inc. 1 Agenda 1. AMS 設計活用事例 I. ミックスドシグナルトップダウン設計 II. MATLAB/Simulinkの導入メリット

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

HILS実装のためのプラントモデリングツールの活用

HILS実装のためのプラントモデリングツールの活用 HILS 実装のためのプラントモデリングツールの活用 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) アプリケーションエンジニア新井克明 2016 The MathWorks, Inc. 1 ハードウェアインザループシミュレーション (HILS) 制御対象の振る舞いを模擬し 試作 量産コントローラの機能検証を行うアプローチ 実機を利用したテストよりも再現性高くテストシナリオの繰り返し実施が可能

More information

Microsoft PowerPoint - matlab_expo_pub.pptx

Microsoft PowerPoint - matlab_expo_pub.pptx ヒューマノイドロボット HYDRA の 開発における MATLAB の活用 東京大学神永拓 開発の動機 フィールドで実用的に使用できるロボットをどうやったら作れるのか? パワー 耐久性 制御性 操作性 2016/10/19 MATLAB EXPO 2016 2 なにをしなければならないか ( 直感的 ) 操作性 制御性 人型構造 柔軟性の実現 頑健性 アクチュエータ 機構の改善 自律性 多数のセンサ

More information

Tech Info share 2015 Q2 CDA Team 2

Tech Info share 2015 Q2 CDA Team 2 制 御 モデルを 活 用 した 量 産 / 組 み 込 みソフト 開 発 ソリューション MathWorks Japan アプリケーションエンジニアリング 部 ( 制 御 ) シニアアプリケーションエンジニア 山 本 順 久 2015 The MathWorks, Inc. 1 はじめに: 本 講 演 でお 話 しする 内 容 Simulinkモデルを 用 いた 量 産 / 組 み 込 み 制 御

More information

サイバネットニュース No.115

サイバネットニュース No.115 Fall 2005 no.115 C O N T E N T S 1 2 2 3 4 4 5 5 6 7 8 9 10-11 12-14 15 16 CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE BP 1 Just-in-TimeIT epiplex Epiance4.7 CAD/CAE ERPWindows

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information