Microsoft PowerPoint - Chap2 [Compatibility Mode]

Size: px
Start display at page:

Download "Microsoft PowerPoint - Chap2 [Compatibility Mode]"

Transcription

1 計算機構成論 (Chap. (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見 ご要望 ご質問は 山下個人か ger@cs.ritsumei.ac.jp 受講者全員 ml-ca22@ml.ritsumei.ac.jp にメールください 情報システム学科次世代コンピューティング研究室山下茂

2 Chap. 2 のセルフチェック 以下の文章の意味がわからないなら 今から, 寝ないで聞いてみましょう ファイルとの入出力の意味を知っている 2 の機能は 入力で決定する 3 論理演算を実行しているときのデータパスの図を説明できる ロード ストアを実行しているときのデータパスの図を説明できる 5 分岐を実行しているときのデータパスの図を説明できる 6 図.のUがある時点でどちらの入力を選択するかがわかる 7 IPSの単純な実現では 信号を主ユニットと ユニットで生成する 8 主ユニットの入力は オペコードの部分である

3 目標 : 図.2 の理解 単一クロック サイクルでの IPS のデータパスの設計 PC アドレス メモリ [3-] [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ U データ U 一つ一つこつこつと [5-] 6 符号拡張 32 [5-] 2

4 まずは 以下の図の理解を目指す IPSアーキテクチャの単純なデータパス ( 図.) PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 3

5 What to learn 計算機構成論の中心部分 中間試験のメイン IPSのデータパスの設計 ( 教科書.3 節 ) プログラムを送出するデータパス 2 算術論理演算のデータパス 3ロード / ストアのデータパス 分岐のデータパス 全部のデータパスの統合 ユニットの設計 図. の説明ができる ユニット (p. 29~p. 292) 主ユニット (p. 293~p p. 3) データパスの動作の確認 (p. 295~p.299) 299) ジャンプの追加 (p. 3 例題 ) 図.2 の説明ができる Next Topic 教材 教科書 P283~P3P3

6 実行の手順 メモリ lw $5, ($2) add $6, $5, $ 8 add $7, $5, $3 lw $8, ($2) lw $9, ($7) add $2, $8, $9 sw $2, ($6) 復習プロセッサ PC ファイル データメモリ メモリ 部分 以下を繰り返すだけ! ( 単純な実現では 以下は 同じサイクル で行われる ) メモリの PC アドレスをからを読む そのを解釈して 実行する PC=PC+ ( 分岐がない時 ) 5

7 フェッチと PC の更新 復習 を取り出すことをフェッチするという データパスの一部 ( 図.6) フェッチ Read(PC) PCの更新 PC=PC+ を行う :lw $t,2($t) PC アドレス メモリ データ 番号番号番号 op rs rt rd shamt funct 6

8 の解読と実行 部分で の意味を解読し実行 復習 op rs rt rd shamt funct op コードなどからの種類を解読 それに従ってへの入力を決定 の入力のつなぎ先を の出力のつなぎ先を rs rt で実行する. によって などのつなぎ方 = データパスが異なる rd によって データパスが具体的にどうなるかを詳しく見ていくのが今後のお勉強の中心 7

9 プログラムを送出するデータパス 必要となる論理要素 アドレス ( 図.5) メモリ を格納する PC プログラムカウンタ ( アドレスを格納する ) 和 次のアドレスの計算 フェッチ Read(PC) PCの更新 PC=PC+ を行う PC アドレス メモリ ( 図.6) 8

10 お絵かきスペース 9

11 プログラムを送出するデータパス : 分岐以外 PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread

12 プログラムを送出するデータパス : beq PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread op rs rt address beq $s $t +2

13 プログラムを送出するデータパス 予備 PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 2

14 お絵かきスペース 3

15 プログラムを送出するデータパス : 分岐以外 PC アドレス メモリ [3-] 図.2 [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U [5-]

16 プログラムを送出するデータパス : beq PC アドレス メモリ [3-] 図.2 op rs rt address [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] beq $s $t +2 RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 [5-] U データ U 5

17 お絵かきスペース 6

18 プログラムを送出するデータパス : j ( 章末問題参照 ) op PC アドレス メモリ [3-] 図.2 address [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 [5-] U データ U j 7

19 プログラムを送出するデータパス 予備 PC アドレス メモリ [3-] 図.2 [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U [5-] 8

20 お絵かきスペース 9

21 2 算術論理演算のデータパス R 形式 ( 算術論理演算 ) のデータパス をし で演算し 演算結果をに書き込む op rs rt rd shamt funct 6 ビット 5 ビット 5 ビット 5 ビット 5 ビット 6 ビット 必要となる論理要素 rs rd rt 番号 データ 5 アドレス 5 アドレス 5 書込 アドレス 書込 データ データ 操作 ゼロ判定 結果 2

22 ーファイルの仕様 アドレスアドレス書込アドレス 中身は,32 個の32ビットの 入力は, 2つの読み出し指定 (5 ビットx2) つの書き込み指定 (5 ビットx) ビットの線 ( 書き込む時 ) 出力は,2つの読み出しの値(32 ビットx2) 2

23 お絵かきスペース 22

24 2 算術論理演算のデータパスはどこ? add $3, $, $5 PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U op rs rt rd shamt funct 6 符号拡張 32 emread add $ $5 $3 23

25 2 算術論理演算のデータパス : 即値の場合 addi $3, $, PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U op rs rt address 6 符号拡張 32 emread addi $ $3 + 2

26 2 算術論理演算のデータパスはどこ? 予備 PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 25

27 お絵かきスペース 26

28 2 算術論理演算のデータパスはどこ? add $3, $, $5 PC アドレス メモリ [3-] 図.2 [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] op rs rt rd shamt funct add $ $5 $3 RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 [5-] U データ U 27

29 2 算術論理演算のデータパスはどこ? addi $3, $, PC アドレス メモリ [3-] 図.2 op rs rt address [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U addi $ $3 + [5-] 28

30 お絵かきスペース 29

31 2 算術論理演算のデータパスはどこ? 予備 PC アドレス メモリ [3-] 図.2 [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U [5-] 3

32 お絵かきスペース 3

33 の入力とその機能 入力機能 AND OR 減算 操作 ゼロ判定 結果 Set on less than NOR 32

34 データパスの部分の概要 メモリ ( ファイル ) PC op rs rt rd shamt funct 6ビット 5ビット 5ビット 5ビット 5ビット 6ビット どこかでが解読され, 信号が出されている. アドレスアドレス 書込アドレス 操作 ゼロ判定 結果 33

35 お絵かきスペース 3

36 お絵かきスペース 35

37 重要問題 : 算術論理演算のデータパス add $t, $t, $s2 を部分で解釈して実行中のデータパスを考える 以下の図の各結線に流れるデータの値とそのビット幅を答えよ このの実行前の $t, $t, $s2の値は それぞれ,,2であったとする ( 次ページの表は試験でもつくでしょう ) rs rt rd アドレス アドレス 書込アドレス wa wb 操作ゼロ判定 ws 36

38 重要問題 用の参考資料 入力 機能. naming rule $t $s $t $s AND OR 減算 $t7 $s7 Set on less than NOR 37

39 お絵かきスペース 38

40 3 ロード / ストアのデータパス (/2) 必要となる論理要素 ( 追加分 ) emwrite 図.8 アドレス 書込アドレス データメモリ データ 6 符号 32 拡張 例 ) lw $t,offset($t2) emread emwrite と emread ($t2 の値 )+offset o を行う際には同時にアサートされない offsetを6ビットから32ビットに符号拡張ようにする 復習 : 次のビットの2の補数を8ビットに符号拡張せよ () (2) 39

41 お絵かきスペース

42 3 ロード / ストアのデータパス (2/2) lw $t, 2($t2) を実行する時は? データパス 書込 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ 6 符号拡張 32 emread

43 3ロード / ストアのデータパス :lw lw $, -2($5) PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U op rs rt address 6 符号拡張 32 emread lw $5 $ -2 2

44 3ロード / ストアのデータパス :sw sw $2, ($3) PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U op rs rt address 6 符号拡張 32 emread sw $3 $2 + 3

45 3 ロード / ストアのデータパスはどこ? 予備 PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread

46 お絵かきスペース 5

47 3 ロード / ストアのデータパス :lw PC アドレス メモリ [3-] 図.2 op rs rt address [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U [5-] lw $5 $ -2 6

48 お絵かきスペース 7

49 3 ロード / ストアのデータパス :sw PC アドレス メモリ [3-] 図.2 op rs rt address [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U sw $3 $2 + [5-] 8

50 お絵かきスペース 9

51 3 ロード / ストアのデータパスはどこ? 予備 PC アドレス メモリ [3-] 図.2 [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U [5-] 5

52 お絵かきスペース 5

53 重要問題 2 ロードのデータパス lw $t, 2($t2) を 部分で解釈して実行中のデータパスを考える を考える以下の図の各結線に流れるデータの値とそのビット幅を答えよ このの実行前の $t, $t2の値は それぞれ,2であったとする ( 重要問題 の表は試験でもつくでしょう ) 書込 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ 6 符号拡張 32 emread 52

54 お絵かきスペース 53

55 重要問題 2 ストアのデータパス sw $t, 2($t2) を 部分で解釈して実行中のデータパスを考える を考える以下の図の各結線に流れるデータの値とそのビット幅を答えよ このの実行前の $t, $t2の値は それぞれ,2であったとする ( 重要問題 の表は試験でもつくでしょう ) 書込 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ 6 符号拡張 32 emread 5

56 お絵かきスペース 55

57 分岐のデータパス PC 相対アドレッシング方式例 ) beq $t,$t,l; $t ($t=$t$t なら L へ分岐 ) PC+( データパスから ) データパス図.9 2 ビット左にシフト 器 分岐先 書込 操作 ゼロ判定 分岐論理へ 6 符号拡張 32 注意 : 機械語で指定されるoffsetは Lのアドレスではなく PC++offset* がLのアドレスとなるようにコンパイラが計算した値 56

58 お絵かきスペース 57

59 分岐のデータパス : 分岐成立の時 beq $2,$, $ Label op rs rt address beq $2 $ +2 PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 58

60 分岐のデータパス : 分岐不成立の時 op rs rt address beq $2,$,Label $ beq $2 $ +2 PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 59

61 分岐のデータパスはどこ? beq $2,$,Label $ 予備 PCSrc PC アドレス メモリ 2ビット左にシフト 書込 Src U 操作 ゼロ判定 結果 アドレス U データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 6

62 分岐のデータパス : 分岐成立の時 PC アドレス メモリ [3-] 図.2 [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U op rs rt address beq $2 $ +2 [5-] 6

63 お絵かきスペース 62

64 分岐のデータパス : 分岐不成立の時 PC アドレス メモリ [3-] 図.2 [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U op rs rt address beq $2 $ +2 [5-] 63

65 お絵かきスペース 6

66 分岐のデータパスはどこ? 予備 PC アドレス メモリ [3-] 図.2 [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ [5-] 6 符号拡張 32 U データ U [5-] 65

67 重要問題 3: 分岐のデータパス. beq を実行する時のへの入力は何か? 2. 前のページで活性化 ( 意味がある使用のされ方をするブロック ) される部分を示せ 3. 下図では何をするか? またその理由は? PC+( データパスから ) 器 分岐先 書込 操作 ゼロ判定 分岐論理へ 6 符号拡張 32 66

68 お絵かきスペース 67

69 What to learn 計算機構成論の中心部分 中間試験のメイン IPSのデータパスの設計 ( 教科書.3 節 ) プログラムを送出するデータパス 2 算術論理演算のデータパス 3ロード / ストアのデータパス 分岐のデータパス 全部のデータパスの統合 ユニットの設計 図. の説明ができる ユニット (p. 29~p. 292) 主ユニット (p. 293~p p. 3) データパスの動作の確認 (p. 295~p.299) 299) ジャンプの追加 (p. 3 例題 ) 図.2 の説明ができる Next Topic 教材 教科書 P283~P3P3 68

70 単純なデータパスの構築 ( データパスの統合 ) これから IPSアーキテクチャのサブセットとして 最も単純と思われるデータパスを構築します load word(lw), store word(sw), branch equal(beq), (add), 減算 (sub), 論理積 (and), 論理和 (or), set less than(slt) これらの演算を可能とする単一データパスを作成 これまで挙げてきたデータパスの共有を マルチプレクサで行う 69

71 マルチプレクサ回路 (Chap. を覚えてる?) ultiplexor (data selector と呼ぶ方がふさわしい ) Out = selector& in + not(selector)&in2 ; 2 つの AND と一つの OR と一つの NOT で実現できる selector in2 Out in Nbit の U 7

72 お絵かきスペース 7

73 R 形式とメモリ参照用のデータパス統合 指令 : これからは特に U に意識せよ 各でデータパスが違うところのみ U で切り替え 書込 Src U 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 図. 72

74 R 形式とメモリ参照用のデータパス統合 ロードので活性化されている部分に色をつけよ 書込 Src U 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread op rs rt address 図. lw $t, 2($s) lw $s $t +2 73

75 R 形式とメモリ参照用のデータパス統合 予備 ロードので活性化されている部分に色をつけよ 書込 Src U 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 図. 7

76 R 形式とメモリ参照用のデータパス統合 ストアので活性化されている部分に色をつけよ 書込 Src U 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread op rs rt address 図. sw $t, 2($s) sw $s $t +2 75

77 R 形式とメモリ参照用のデータパス統合 予備 ストアので活性化されている部分に色をつけよ 書込 Src U 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 図. 76

78 R 形式とメモリ参照用のデータパス統合 R 形式ので活性化されている部分に色をつけよ 書込 Src U 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread op rs rt rd shamt funct add $t, $s, $s 図. add $s $s $t 77

79 R 形式とメモリ参照用のデータパス統合 予備 R 形式ので活性化されている部分に色をつけよ 書込 Src U 操作 ゼロ判定 結果 アドレス データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread op rs rt rd shamt funct add $t, $s, $s 図. add $s $s $t 78

80 そして, 最初にやったフェッチ部分と統合 PC アドレス メモリ 書込 Src U 操作 ゼロ判定 結果アドレス データメモリ emwrite データ emtoreg U 6 符号拡張 32 emread 図. 以降で, 分岐の部分を追加する 79

81 分岐のデータパス 復習 PC 相対アドレッシング方式例 ) beq $t,$t,l; $t ($t=$t$t なら L へ分岐 ) PC+( データパスから ) データパス図.9 2 ビット左にシフト 器 分岐先 書込 操作 ゼロ判定 分岐論理へ 6 符号拡張 32 注意 : 機械語で指定されるoffsetは Lのアドレスではなく PC++offset* がLのアドレスとなるようにコンパイラが計算した値 8

82 ついに IPS アーキテクチャの単純なデータパスの完成! PCSrc PC アドレス メモリ 書込 6 符号拡張 32 2ビット左にシフト Src U A 操作 ゼロ判定 結果 アドレス U emread B データメモリ emwrite データ emtoreg U C 図. 8

83 重要問題 Very Important: 出題確率 > 阪神の優勝確率 以下の各を実行時に, 前のページの U の A, B, Cが 上, 下のいずれを選択しないといけないか?( もしくはどちらでもいいか?) U A add lw sw beq( q 分岐成立 ) beq ( 分岐不成立 ) 上 下 U B 上 下 U C 上??? 下??? q がそちら側の入力が選択されるように設計されているという意味? は その U の出力は使用されないので としてはどちらを選択するかを明示的には設計しないという意味です ( 実際どちらが選択されるかは実装依存の話 ) 82

84 お絵かきスペース 83

85 What to learn 計算機構成論の中心部分 中間試験のメイン IPSのデータパスの設計 ( 教科書.3 節 ) プログラムを送出するデータパス 2 算術論理演算のデータパス 3ロード / ストアのデータパス 分岐のデータパス 全部のデータパスの統合 ユニットの設計 図. の説明ができる ユニット (p. 29~p. 292) 主ユニット (p. 293~p p. 3) データパスの動作の確認 (p. 295~p.299) 299) ジャンプの追加 (p. 3 例題 ) 図.2 の説明ができる Next Topic 教材 教科書 P283~P3P3 8

86 What to learn from now on (in more detail) データパスの統合とユニットの設計 入力の生成 Next Topic 主ユニットの生成する信号 データパスの動作の確認 R 形式 ( 図.9) ロード ( 図.2) beq ( 図.2) ジャンプの実現 (p.3 の例題 ) 教材 教科書. 節の p.3 まで 85

87 お絵かきスペース 86

88 マルチプレクサと 線を加えたデータパス ( 今までの確認 ) ユニットからの出力信号を蛍光ペンで塗ってみて! PCSrc PC アドレス メモリ [3-] [25-2] 2ビット左にシフト ゼロ判定 [2-6] Src 結果書込アドレス U 書込 U データ [5-] RegDst [5-] 6 符号拡張 32 U 操作データメモリ emread emwrite データ emtoreg U 図 5.5 [5-] Op 87

89 マルチプレクサと 線を加えたデータパス ( 今までの確認 ) ユニットからの出力信号を蛍光ペンで塗ってみて! 予備 PCSrc PC アドレス メモリ [3-] [25-2] 2ビット左にシフト ゼロ判定 [2-6] Src 結果書込アドレス U 書込 U データ [5-] RegDst [5-] 6 符号拡張 32 U 操作データメモリ emread emwrite データ emtoreg U 図 5.5 [5-] Op 88

90 の入力とその機能 入力 機能 AND OR 減算 Set on less than NOR 覚える必要などなし 89

91 複数レベルによるデコード法 入力の生成方法 主ユニットでopコードから大体ののグループを解読し それから2ビットの op(2ビット ) を生成 op(2ビット ) :(load,store), :beq : 機能コードfunctの演算 (6ビット) ユニットで op(2 ビット ) とfunct(6 ビット ) からビットの 信号を生成 形式 R 形式 ( 算術 ) I 形式 ( データ転送 分岐 ) J 形式 op rs rt rd shamt funct 6 ビット 5 ビット 5 ビット 5 ビット 5 ビット 6 ビット op rs rt address 6 ビット 5 ビット 5 ビット 6 ビット op address 6 ビット 26 ビット 9

92 お絵かきスペース 9

93 入力の構成 (/2) p. 29~ p. 292 操作コード Op 操作機能コード実行する演算 コード lw load word add sw store word add branch equal branch equal subtract R 形式 add add R 形式 subtract t subtract t R 形式 AND and R 形式 OR or R 形式 set on less than set on less than 92

94 入力の構成 (2/2) p. 29~ p. 292 Op 機能コードによる 入力の真理値表 Op 機能コード Op Op F5 F F3 F2 F F 入力 ( 操作ビット ) 真理値表ができれば回路の合成は可能 93

95 お絵かきスペース 9

96 What to learn (in detail) データパスの統合とユニットの設計 入力の生成 主ユニットの生成する信号 データパスの動作の確認 R 形式 ( 図.9) ロード ( 図.2) beq ( 図.2) ジャンプの実現 (p. 3 の例題 ) Next Topic まず 形式の復習 教材 教科書. 節の p. 3 まで 95

97 R 形式の 復習 again & again add $t, $s, $s または減算を意味する. op rs rt rd shamt funct add $s $s $t $t $t $t7 のときは, のときは減算を意味する. $s $s $s7 96

98 I 形式の 復習 again & again lw $t, 2($s) op rs rt address lw $s $t +2 のときは lw, のときは sw を意味する. 6bit 符号つき整数 (2の補数表現) 32768(2 5 )~ $t $s $t $s $t7 $s7 97

99 I 形式の (2) 復習 again & again beq $s, $s2, Label op rs rt address beq $s $s2 25 if $s = $s2, then PC = PC * $t $s $t $s $t7 $s7 98

100 J 形式の (2) 復習 again & again j Label ラベルのアドレスが のときは以下の機械語となる op address j のときは j, のときは jal を意味する. 26bit のアドレス 26ビットで28ビット分の情報を表せる(Why?) 厳密には PC+ の下位 28 ビットを address で置き換え 99

101 ミニクイズ : 各形式のの解釈 R 形式 add $t, $s, $s IPS の以下の3 種のアセンブリ言語とそれに対応する機械語を参考にして以下の空欄をうめよ op rs rt rd shamt funct add $s $s $t I 形式 op rs rt address lw $t, 2($s) lw $s $t +2 beq $s, $s2, beq $s $s2 25 J 形式 op address j label j 操作コード (opcode) は3-26 読み出しは rs(25-2) と rt(2-6) ロード / ストアのベースアドレスは25-2 beqのオフセットは5- dest( 書き込み先 ) は2-6( ロード ),5-(R 形式 )

102 各形式のの解釈 ( ミニクイズ解説 ) R 形式 ロード / ストア 分岐 rs rt rd shamt funct / 3 rs rt address rs rt address

103 お絵かきスペース 2

104 マルチプレクサと 線を加えたデータパス * 次のスライドから各線の意味を見ていきます PCSrc PC アドレス メモリ [3-] [25-2] [2-6] U [5-] 書込 2ビット左にシフト Src U ゼロ判定 結果 アドレス U 操作データメモリ emwrite データ emtoreg U RegDst [5-] 6 符号拡張 32 emread 図 5.5 [5-] Op 3

105 RegDst 高度な内容 R 形式 ロード / ストア rs rt rd shamt funct / 3 rs rt address 書き込むが rt か rd かを指定する信号 アドレス メモリ [3-] [25-2] [2-6] U [5-] 書込 R 形式 add $t, $s, $s I 形式 lw $t, 2($s) RegDst [5-] 6

106 お絵かきスペース 5

107 高度な内容 R 形式 ロード / ストア rs rt rd shamt funct / 3 rs rt address この信号が ならば書き込みに指定されたに書き込みを行う アドレス メモリ [3-] [25-2] [2-6] U [5-] 書込 RegDst [5-] 6 6

108 R 形式 add $t, $s, $s ロード / ストア lw $t, 2($s) Src 高度な内容 rs rt rd shamt funct / 3 rs rt address 分岐 rs rt address beq $s, $s2, アドレス R 形式の演算か実行アドレ [25-2] ス計算をするかの信号 メモリ [3-] [2-6] U [5-] 書込 Src U 結果 操作 RegDst [5-] 6 符号拡張 7

109 お絵かきスペース 8

110 図.6 ( 図.5 中の ) 信号の詳細 高度な内容 信号名ネゲートされたときの働きアサートされたときの働き RegDst 書込みのディスティネーション番号が rt フィールド ( ビット 2:6) から得られる 書込みのディスティネーション番号が rd フィールド ( ビット 5:) から得られる なしにデータが書き込まれる Src の第 2 オペランドがファイルの第 2 出力 の第 2 オペランドがの下位 6 ビットを符号拡張したものになる PCSrc PC+ を計算した器の値が PC に分岐先を計算した器の値が PC に emread emwrite emtoreg なし なし しアドレスで指定されたデータメモリの内容がデータ出力へ 書込みアドレスで指定されたデータメモリへデータ入力の内容を書込む 書込みデータ入力へタ入力 書込みデータ入力へ渡される値がタ入力 渡される値がから得られる データメモリから得られる Branch : beq の時 となる信号 からのゼロ判定と Branch の論理積を PCSrc へ op(2 ビット ) :(load,store), :beq : 機能コード functの演算 (6 ビット ) 9

111 自己確認クイズ 図.5 および図 6.6 と前ページの注意書きを参考にして 以下のテーブルの空欄に xのいずれかを記入せよ ( 答えは 図.8) 高度な内容 R 形式 rs rt rd shamt funct add $t, $s, $s ロード / ストア / 3 rs rt address lw $t, 2($s) 分岐 beq $s, $s2, rs rt address 図.8 名 Reg Dst Src 操作コードと信号 emto Reg Reg Write em Read em Write Branc h Op Op R 形式 lw sw beq

112 お絵かきスペース

113 ユニットを加えたデータパスほぼ完成! (J についてはここまでではまだです. 最後に考えます.) PC メモリ アドレス [3-] [3-26] [25-2] RegDst Branch emread emtoreg Op emwrite Src 2ビット左にシフト AND ゼロ判定 [2-6] 結果書込アドレス U U 操作 [5-] U データメモリ データ U [5-] 6 符号拡張 32 図 7.7 [5-] 2

114 What to learn (in detail) データパスの統合とユニットの設計 入力の生成 主ユニットの生成する信号 データパスの動作の確認 Next Topic R 形式 ( 図.9) ロード ( 図.2) beq ( 図.2) ジャンプの実現 (p. 3 の例題 ) 教材 教科書. 節の p. 3 まで ( 注意 ) 単一サイクルでは,クロックサイクルで,つの実行に関わる全ての処理をする. しかし, 以下ではそれを論理的に何ステップかにわけて説明している. ( 以降で勉強するマルチサイクルにつながる ) 3

115 重要問題 5:R 形式の実行の様子 R 形式のの add $t, $s, $sの実行に関して 以下の各ステップのデータパスを図示せよ また その時の各 Uへの 入力は か のどちらか?( 各バスの値も確認せよ ) add $t, $s, $s op rs rt rd shamt funct add $s $s $t メモリからのフェッチとPCの繰り上げ 2ファイルからの 2 つのソースのし ( この間に 主ユニットが信号を生成 ) 3 入力信号を生成して 演算を実行 ディスティネーションに結果の書込み * この問題は 図.6 を見ながら回答してよし

116 メモリからのフェッチと PC の繰り上げ op rs rt rd shamt funct add $s $s $t PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 5

117 メモリからのフェッチと PC の繰り上げ op rs rt rd shamt funct add $s $s $t 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 6

118 op rs rt rd shamt funct 2ファイルからの2つのソースのし ( この間に 主ユニットが信号を生成 ) add $s $s $t PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 7

119 op rs rt rd shamt funct 2ファイルからの2つのソースのし ( この間に 主ユニットが信号を生成 ) add $s $s $t 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 8

120 3 入力信号を生成して 演算を実行 op rs rt rd shamt funct add $s $s $t PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 9

121 3 入力信号を生成して 演算を実行 op rs rt rd shamt funct add $s $s $t 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 2

122 ディスティネーションに結果の書込み op rs rt rd shamt funct add $s $s $t PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 2

123 ディスティネーションに結果の書込み op rs rt rd shamt funct add $s $s $t 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 22

124 重要問題 6: ロード実行の様子ロードの lw $t, 2($s) の実行に関して 以下の各ステップのデータパスを図示せよ また その時の各 U への 入力は か のどちらか?( 各バスの値も確認せよ ) op rs rt address lw $t, 2($s) lw $s $t メモリからのフェッチと PC の繰り上げ 2 ファイルからの つの rs のし ( この間に 主ユニットが信号を生成 ) 3 入力信号を生成して 演算を実行 ( 足されるのは 2 のと offset の値 ) 3 で計算した値をアドレスとしてデータメモリをアクセス 5 で読み出したメモリの値をディスティネーションに書き込み * この問題は 図.6 を見ながら回答してよし 23

125 op rs rt address メモリからのフェッチとPCの繰り上げ lw $s $t +2 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 2

126 op rs rt address メモリからのフェッチとPCの繰り上げ lw $s $t +2 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 25

127 2 ファイルからの つの rt のし ( この間に 主ユニットが信号を生成 ) op rs rt address lw $s $t +2 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 26

128 2 ファイルからの つの rt のし ( この間に 主ユニットが信号を生成 ) op rs rt address lw $s $t +2 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 27

129 3 入力信号を生成して 演算を実行 ( 足されるのは 2 のと offset を 倍した値 ) op rs rt address lw $s $t +2 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 28

130 3 入力信号を生成して 演算を実行 ( 足されるのは 2 のと offset を 倍した値 ) op rs rt address lw $s $t +2 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 29

131 op rs rt address 3で計算した値をアドレスとしてデータメモリをアクセス lw $s $t +2 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 3

132 op rs rt address 3で計算した値をアドレスとしてデータメモリをアクセス lw $s $t +2 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 3

133 5 で読み出したメモリの値をディスティネーションに書き込み op rs rt address lw $s $t +2 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 32

134 5 で読み出したメモリの値をディスティネーションに書き込み op rs rt address lw $s $t +2 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 33

135 重要問題 7:beq 実行の様子ロードの beq$s, $s2, の実行に関して 以下の各ステップのデータパスを図示せよ また その時の各 U への 入力は か のどちらか?( 各バスの値も確認せよ ) op rs rt address beq $s, $s2, beq $s $s2 25 メモリからのフェッチと PC の繰り上げ 2 ファイルからの 2 つのソースのし ( この間に 主ユニットが信号を生成 ) 3 入力信号を生成して 演算を実行 ( 減算 ) 同時に PC+ + (offset*) の計算 のゼロ判定出力に基づき PC に格納する値を選択 * この問題は 図.6 を見ながら回答してよし 3

136 op rs rt address メモリからのフェッチとPCの繰り上げ beq $s $s2 25 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 35

137 メモリからのフェッチと PC の繰り上げ op rs rt address beq $s $s2 25 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 36

138 2ファイルからの2つのソースのし op rs rt address ( この間に 主ユニットが信号を生成 ) beq $s $s2 25 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 37

139 2ファイルからの2つのソースのし op rs rt address ( この間に 主ユニットが信号を生成 ) beq $s $s2 25 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 38

140 3 入力信号を生成して 演算を実行 ( 減算 ) op rs rt address 同時にPC+ + (offset*) の計算 beq $s $s2 25 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 39

141 3 入力信号を生成して 演算を実行 ( 減算 ) op rs rt address 同時にPC+ + (offset*) の計算 beq $s $s2 25 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-]

142 のゼロ判定出力に基づき PC に格納する値を選択 op rs rt address beq $s $s2 25 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-]

143 のゼロ判定出力に基づき PC に格納する値を選択 op rs rt address beq $s $s2 25 予備 PC アドレス メモリ [3-] U [3-26] [25-2] [2-6] U [5-] RegDst Branch emread emtoreg Op emwrite Src 書込 書込デタ 2ビット左にシフト ゼロ判定 AND 結果 アドレス U 操作データデータ メモリ データ U [5-] 6 符号 拡張 32 [5-] 2

144 自己確認クイズ 2. ( 図.7を見てよい ) 主ユニットの入力数と出力数はいくらか? 2. その入力と出力の関係の図を図.8と以下の図から導け 名 進表現の 2 進表現の操作コード操作コード Op5 Op Op3 Op2 Op Op R 形式 lw 35 sw 3 beq 3

145 ユニットの仕上げ ( 完全な仕様 ) 関数の真理値表 ( 完全な仕様 ) 入力 出力 図..22 R lw sw beq O5 Op5 Op Op3 Op2 Op Op RegDst Src emtoreg emread emwrite Branch Op Op 真理値表 論理回路はできますね!

146 お絵かきスペース 5

147 Chap. 2 のセルフチェック 以下の文章の意味がわからないなら 講義で寝ていた ( 笑 ) かもしれませんね ファイルとの入出力の意味を知っている 2 の機能は 入力で決定する 3 論理演算を実行しているときのデータパスの図を説明できる ロード ストアを実行しているときのデータパスの図を説明できる 5 分岐を実行しているときのデータパスの図を説明できる 6 図.のUがある時点でどちらの入力を選択するかがわかる 7 IPSの単純な実現では 信号を主ユニットと ユニットで生成する 8 主ユニットの入力は オペコードの部分である ちゃんと聞いていたのに, わからなければ質問しましょう! はっきりいって, めちゃくちゃややこしい内容だと思います! 考えても分からなかったら山下に質問するか, 友達に質問するか ( 説明聞いても分からないと, 教科書読んでも分からないと思います.) 6

148 Chap. 2 の範囲の試験対策 特に 試験で意味を聞くようなほとんど用語はありません むしろ この章は レジメの中の図の理解 データパスの理解 線の作り方など 暗記というよりは理解してもらうことが必要です 難しい ( というかややこしい ) けど 頑張って理解してください 理解するという作業自体が重要です それで 脳力 ( 脳の体力と思ってもらえればと ) がついていくのだと思います 重要問題 ~7 自己確認クイズ 2( 自分でやるのが基本 ) 以降の章末問題 2 7

149 章末問題 重要問題 6 においてストアの場合を考える まず問題文自体を自分で変更せよ ( 動作の内容が異なる ) そして その問題を回答せよ その問題を回答せよ 8

150 章末問題 2: ジャンプの追加 教科書の P3 の例題を自分で読んで理解した後 図.2 に関して以下の問いに答えよ 教科書 : 図.2 で新たに付け加えられた部分はどこか答えよ PC への更新のデータパスにおいて 以下の つの場合のデータパス をそれぞれ示せ ( どの U でどのデータを選択するかを明示せよ. また 線で となっているものをあげよ ). beq で分岐が成立する時 2. beq で分岐が成立しない時 3. jump. add 9

151 目標 : 図.2 の理解 単一クロック サイクルでの IPS のデータパスの設計 PC アドレス メモリ [3-] [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ U データ U [5-] 6 符号拡張 32 [5-] 5

152 図.2. 節までの最終形自習用に使ってください PC アドレス メモリ [3-] [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ U データ U [5-] 6 符号拡張 32 [5-] 5

153 図.2. 節までの最終形自習用に使ってください PC アドレス メモリ [3-] [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ U データ U [5-] 6 符号拡張 32 [5-] 52

154 図.2. 節までの最終形自習用に使ってください PC アドレス メモリ [3-] [25-] 2ビットジャンプ先アドレス [3- ] 左に 26 シフト 28 PC+ [3-28] [3-26] [25-2] [2-6] U [5-] RegDst Jump Branch emread emtoreg Op emwrite Src 2 ビット左にシフト U AND ゼロ判定 結果書込 アドレス U 操作データ メモリ U データ U [5-] 6 符号拡張 32 [5-] 53

Microsoft PowerPoint - Chap4 [Compatibility Mode]

Microsoft PowerPoint - Chap4 [Compatibility Mode] 計算機構成論 (Chap. ) @C01 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch2012/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見

More information

Microsoft PowerPoint - Chap3 [Compatibility Mode]

Microsoft PowerPoint - Chap3 [Compatibility Mode] 計算機構成論 (Chap. 3) @C4 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch22/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見 ご要望

More information

Microsoft PowerPoint - Chap5 [Compatibility Mode]

Microsoft PowerPoint - Chap5 [Compatibility Mode] 計算機構成論 (Chap. 5) @C306 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch2012/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見

More information

Microsoft PowerPoint - Sol7 [Compatibility Mode]

Microsoft PowerPoint - Sol7 [Compatibility Mode] ミニクイズ 4 E ハザード ( つ前の命令の結果を必要とする状況 ) が発生する条件を つ挙げよ. また それぞれの時に 制御線 ForwardA, ForwardB はどのように設定すれば良いか? ( 回答 ) E/.RegWrite= かつ E/.RegisterRd = ID/.RegisterRs この時,ForwardA = と制御すれば良い. E/.RegWrite= かつ E/.RegisterRd

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 マルチサイクルを用いた実現方式 ( 教科書 5. 節 ) マルチサイクル方式 () 2 つのデータパス実現方式 単一クロックサイクル : 命令を クロックサイクルで処理 マルチクロックサイクル : 命令を複数クロックサイクルで処理 単一クロックサイクル方式は処理効率が悪い. CLK 処理時間 命令命令命令命令命令 時間のかかる命令にクロック サイクル時間をあわさなければならない. 余り時間の発生 クロック

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

6. パイプライン制御

6. パイプライン制御 6. パイプライン制御 パイプライン (Pipelining) 命令のスループットをあげて性能を向上する Program eection order Time (in instrctions) lw $, ($) fetch 2 4 6 8 2 4 6 8 Data access lw $2, 2($) 8 ns fetch Data access lw $3, 3($) Program eection

More information

Microsoft PowerPoint - NxLecture ppt [互換モード]

Microsoft PowerPoint - NxLecture ppt [互換モード] 011-05-19 011 年前学期 TOKYO TECH 命令処理のための基本的な 5 つのステップ 計算機アーキテクチャ第一 (E) 5. プロセッサの動作原理と議論 吉瀬謙二計算工学専攻 kise_at_cs.titech.ac.jp W61 講義室木曜日 13:0-1:50 IF(Instruction Fetch) メモリから命令をフェッチする. ID(Instruction Decode)

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 命令と命令表現 ( 教科書 3.1 節 ~3.4 節 ) プロセッサの命令と命令セット 命令 : プロセッサへの指示 ( プロセッサが実行可能な処理 ) 加算命令 減算命令 論理演算命令 分岐命令 命令セット : プロセッサが実行可能な命令の集合 ( プログラマから見えるプロセッサの論理仕様 ) プロセッサ A 加算命令分岐命令 プロセッサ B 加算命令減算命令 命令セットに含まれない命令は直接実行できない!

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 1 前回教育用の RISC POCO を導入しました 今日はその Verilog 記述を紹介します まず この復習をやっておきましょう 2 最も重要な点は メモリの読み書きで レジスタ間接指定の理解です これはポインタと一緒なので 間違えないように修得してください 3 RISC なので 基本の演算はレジスタ同士でしかできません MV はレジスタ間のデータ移動なので気をつけてください 4 イミーディエイト命令は

More information

スライド 1

スライド 1 順序回路 (2) 1 順序回路の設計 組合せ論理回路の設計法 構造や規則性に着目した手設計 ( 先人の知恵を使う ) 入力 出力の関係に基づく自動合成 ( カルノー図など ) 順序回路の設計法 構造や規則性に着目した手設計 ( 前回の各例 ) 入力 出力 状態の関係に基づく自動合成 2 同期式順序回路の入力 出力 状態の関係 x 1 x 2 組合せ回路 y 1 y 2 x n q 2 q p q 1

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T341 コンピュータ論理設計 Computer Logic Design 10. シングルサイクルプロセッサのデータパス Datapath for Single Cycle Processor 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 7. MIPS の命令と動作 分岐 ジャンプ 関数呼出し ( 教科書 7 章命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 分岐 ジャンプ命令 条件文や繰り返し文などを実現するには, 命令の実行順の制御が必要 (C 言語

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

スライド 1

スライド 1 Dispatch 0 年後学期 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ ALU Dispatch 命令フェッチ, デコード, リネーミング バックエンド ディスパッチ (dispatch) : 命令ウィンドウに命令を格納する動作 発行 (issue, fire) : 命令ウィンドウから, データ依存が解消された命令を機能ユニットに送り出す動作

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 18 回ハザードとその解決法 2014 年 10 月 17 日 電気情報工学科 田島孝治 1 授業スケジュール ( 後期 ) 2 回 日付 タイトル 17 10/7 パイプライン処理 18 10/17 ハザードの解決法 19 10/21 並列処理 20 11/11 マルチプロセッサ 21 11/18 入出力装置の分類と特徴 22 11/25 割り込み 23 12/2 ネットワークアーキテクチャ

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

目次 1. はじめに 1 2. マルチALUプロセッサ MAP MAP の構成 MAP 命令セットアーキテクチャ 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 次 Booth アルゴリズム 次 Bo

目次 1. はじめに 1 2. マルチALUプロセッサ MAP MAP の構成 MAP 命令セットアーキテクチャ 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 次 Booth アルゴリズム 次 Bo 目次 1. はじめに 1 2. マルチALUプロセッサ MAP 2 2.1 MAP の構成 2 2.2 MAP 命令セットアーキテクチャ 3 2.3 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 7 3.1 1 次 Booth アルゴリズム 7 3.2 2 次 Booth アルゴリズム 8 3.3 3 次 Booth アルゴリズム 10 4. シミュレーションによる並列化の評価

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx 2019 年 4 月 26 日ハードウエア設計論 :3 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 種々の記述 ~ ALU の実装とタイミングに関して always @(A or B or C) Ubuntu を起動し verilog が実行できる状態にしておいてください 79 演習 4: 簡単な演算器 1 入力 A:8 ビット 入力 B:8 ビット 出力 O:8

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

Microsoft PowerPoint - NxLec-2010-11-01.ppt

Microsoft PowerPoint - NxLec-2010-11-01.ppt 2010 年 後 学 期 レポート 問 題 計 算 機 アーキテクチャ 第 二 (O) 4. シングルサイクルプロセッサの 実 装 とパイプライン 処 理 大 学 院 情 報 理 工 学 研 究 科 計 算 工 学 専 攻 吉 瀬 謙 二 kise _at_ cs.titech.ac.jp S321 講 義 室 月 曜 日 5,6 時 限 13:20-14:50 1 1. 1から100までの 加 算

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Microsoft PowerPoint - NxLec ppt

Microsoft PowerPoint - NxLec ppt MIPS R3000 Instruction Set Architecture (ISA) 計算機アーキテクチャ特論 (Advanced Computer Architectures) 2. スカラプロセッサ, スーパースカラプロセッサ Instruction Categories Computational Load/Store Jump and Branch Floating Point coprocessor

More information

Microsoft PowerPoint - ProcML-12-3.ppt

Microsoft PowerPoint - ProcML-12-3.ppt プロセッサと 年次前次前期 ( 第 回 ) 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow か? () + + () + + 答 答 中島克人 情報メディア学科 nakajima@im.dendai.ac.jp () - = + + 答 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン () 仮想マシン 復習 仮想マシンの概要 hsm 仮想マシン プログラム言語の処理系 ( コンパイラ ) 原始プログラム (Source program) コンパイラ (Compiler) 目的プログラム (Object code) 原始言語 (Source language) 解析 合成 目的言語 (Object Language) コンパイルする / 翻訳する (to compile

More information

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 ここでは機械命令レベルプログラミングを学びます 機械命令の形式は学びましたね機械命令を並べたプログラムを作ります 2 その前に プログラミング言語について 4 プログラミング言語について 高級言語 (Java とか C とか ) と機械命令レベルの言語 ( アセンブリ言語 ) があります 5 プログラミング言語について

More information

Microsoft PowerPoint - Lec ppt [互換モード]

Microsoft PowerPoint - Lec ppt [互換モード] 0 年後学期 アウトオブオーダ実行プロセッサの構成 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ 命令ウィンドウ ALU レジスタファイル ALU スケジューラ等 Register Dispatch 命令フェッチ, デコード, リネーミング バックエンド アウトオブオーダ実行プロセッサの構成 ディスパッチ

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

MIPSのマイクロアーキテクチャ

MIPSのマイクロアーキテクチャ 今回はパイプラインの動作を妨げるハザードとその対処法をやります 1 前回紹介した構造ハザードは 資源の競合により起こるハザードで回避は簡単 ( というか複製しか手がない ) でした 今回はハザードの中のハザード データハザードを紹介します 2 パイプライン処理では 直前の命令の結果がレジスタファイルに書き込まれないうちに 後続の命令が読み出しを行うため この命令間にデータの依存性があると 誤って更新前の値を読み出してしまいます

More information

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 今回のプログラミングの課題 次のステップによって 徐々に難易度の高いプログラムを作成する ( 参照用の番号は よくわかる C 言語 のページ番号 ) 1. キーボード入力された整数 10 個の中から最大のものを答える 2. 整数を要素とする配列 (p.57-59) に初期値を与えておき

More information

ex05_2012.pptx

ex05_2012.pptx 2012 年度計算機システム演習第 5 回 2012.05.25 高水準言語 (C 言語 ) アセンブリ言語 (MIPS) 機械語 (MIPS) コンパイラ アセンブラ 今日の内容 サブルーチンの実装 Outline } ジャンプ 分岐命令 } j, jr, jal } レジスタ衝突 回避 } caller-save } callee-save 分岐命令 ( 復習 ) } j label } Jump

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン (2), コード生成 http://cis.k.hosei.ac.jp/~asasaki /lect/compiler/2007-1204.pdf ( 訂正版 ) 1 概要 仮想マシン 概要 ( 復習 ) 制御命令 出力命令 コード生成 式のコード生成 文 文の列のコード生成 記号表 2 演習で作るコンパイラの例 test.hcc Int main() { int i j; i = 3;

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 7 週命令セットアーキテクチャ ( 命令の表現 命令の実行の仕組 ) 2013 年 11 月 6 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 4 回目演算子 今日の講義で学ぶ内容 演算子とオペランド 式 様々な演算子 代表的な演算子の使用例 演算子とオペランド 演算子 演算の種類です例えば + - * / 掛け算の記号は ではなく *( アスタリスク ) を使います割り算の記号は ではなく /( スラッシュ ) を使います オペランド 演算の対象です例えば 5( 値 ) num( 変数 ) 式 演算子とオペランドの組み合わせにより構成される数式です式は演算結果をもちます

More information

1 はじめに このアプリケーションは 計算機ハードウェア論 のアセンブリ言語 ( 超簡単命令セット ) の理解を助けるために製作されました 便宜的に機能を追加 削除した箇所があるため このアプリケーション上での動き方が実際のCPUでの動き方と異なる場合があることに留意してください このアプリケーショ

1 はじめに このアプリケーションは 計算機ハードウェア論 のアセンブリ言語 ( 超簡単命令セット ) の理解を助けるために製作されました 便宜的に機能を追加 削除した箇所があるため このアプリケーション上での動き方が実際のCPUでの動き方と異なる場合があることに留意してください このアプリケーショ アセンブリ言語 ( 超簡単命令セット版 ) 取扱説明書 平成 26 年 2 月改訂版 目次 1 はじめに 2 1.1 利用できるデータの範囲やアドレス空間 命令長についての注意 2 2 プログラムの書き方と実行の方法 3 2.1 このマニュアルでの表記について 3 2.2 入力上の注意 3 2.2.1 使用できる文字について 3 2.2.2 ラベルの付け方 3 2.3 命令長の書き方 4 2.3.1

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

Microsoft PowerPoint - prog03.ppt

Microsoft PowerPoint - prog03.ppt プログラミング言語 2 第 03 回 (2007 年 05 月 07 日 ) 今日の配布物 片面の用紙 1 枚 今日の課題が書かれています 本日の出欠を兼ねています 1 今日やること hp://www.nlab.ice.uec.ac.jp/~s-okubo/class/language/ にアクセスすると 教材があります 2007 年 05 月 07 日分と書いてある部分が 本日の教材です 本日の内容

More information

コンピュータの仕組み(1)ハードウェア

コンピュータの仕組み(1)ハードウェア Copyright 守屋悦朗 2005 コンピュータの仕組み (1) ハードウェア 2.1 CPU の基本原理 2 つの整数の和を出力するプログラムを考えよう main() { int a, b, c; /* 変数 a,b が整数値をとる変数であることを宣言する */ a = 1; /* a に 1 を代入する */ b = 2; /* b に 2 を代入する */ c = a+b; /* a と

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 情報セキュリティ第 06 回 大久保誠也 静岡県立大学経営情報学部 はじめに はじめに いままでの復習 RS 暗号の特徴 一方向関数とハッシュ値 演習 : ハッシュ値 2/34 復習 : 盗聴 lice からデータが来た 前回までの復習 送信 lice 盗聴 送信 :> で送信した情報は 基本的に盗聴し放題! 3/34 覗き見してやろう Eve 重要な情報は送らない or 暗号化 4/34 復習 :

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 11 週 条件分岐文と繰り返し文のコード生成 2014 年 6 月 18 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

Microsoft PowerPoint - NxLec ppt

Microsoft PowerPoint - NxLec ppt 動的スケジューリング ( アウトオブオーダ実行 ) 計算機アーキテクチャ特論 (Advanced Computer Architectures) (1) DIV.D F0, F2, F4 (2) ADD.D F10, F0, F8 (3) SUB.D F12, F8, F14 9. アウトオブオーダプロセッサステートと例外回復 DIV.D とADD.Dの依存がパイプラインをストールさせ,SUB.D

More information

Microsoft PowerPoint - Lecture ppt [互換モード]

Microsoft PowerPoint - Lecture ppt [互換モード] 2012-05-31 2011 年前学期 TOKYO TECH 固定小数点表現 計算機アーキテクチャ第一 (E) あまり利用されない 小数点の位置を固定する データ形式 (2) 吉瀬謙二計算工学専攻 kise_at_cs.titech.ac.jp W641 講義室木曜日 13:20-14:50-2.625 符号ビット 小数点 1 0 1 0 1 0 1 0 4 2 1 0.5 0.25 0.125

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 10 週 Java 仮想マシンとその機械語 2014 年 6 月 11 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週 (6/11)

More information

本日の範囲 ファイルとその中身 コンピュータにおける情報の表現 ファイルとフォルダ コンピュータの仕組み 通信 ネットワーク, インターネット 情報の符号化, その限界 コマンドライン プログラムの仕組み 通信の符号化, その限界 暗号 簡単なプログラムの作成 実行 Excel で計算 データの可視

本日の範囲 ファイルとその中身 コンピュータにおける情報の表現 ファイルとフォルダ コンピュータの仕組み 通信 ネットワーク, インターネット 情報の符号化, その限界 コマンドライン プログラムの仕組み 通信の符号化, その限界 暗号 簡単なプログラムの作成 実行 Excel で計算 データの可視 コンピュータが 計算 をする仕組み 田浦健次朗 本日の範囲 ファイルとその中身 コンピュータにおける情報の表現 ファイルとフォルダ コンピュータの仕組み 通信 ネットワーク, インターネット 情報の符号化, その限界 コマンドライン プログラムの仕組み 通信の符号化, その限界 暗号 簡単なプログラムの作成 実行 Excel で計算 データの可視化 基礎的概念 ( 本講義中では ) やや高度な概念

More information

情報工学Ⅰ-02

情報工学Ⅰ-02 第 2 回コンピュータの構成 学習目標 最低でも コンピュータを構成する 5 つの要素について理解して欲しい そのステップをクリアできたなら それぞれの構成要素ごとに 具体的にどんな機器が用いられていて それぞれの機器がどんな特徴を持っているかを理解して欲しい 用語 キーワード CPU, 中央制御装置 入出力装置 補助記憶装置 主記憶装置 演算装 置 制御装置 バス マザーボード スロット コネクタ

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 3 4 週 プログラミング言語の形式的な記述 2014 年 4 月 23 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt コード生成 (2) http://cis.k.hosei.ac.jp/~asasaki /lect/compiler/2007-1211.pdf 1 概要 宣言文と記号表 ( 配列 ) 今日はやりません 2 宣言 a = 1; b = a+2; putint(b); int main(){ int a; int b; a = 1; b = a+2; putint(b); } PUSH 0 26 LDC

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2017 年 5 月 15 日 東邦大学金岡晃 前回の復習 (1) このプログラムを作成し実行してください 1 前回の復習 (2) このプログラムを作成し実行してください 2 前回の復習 (3) 3 前回の復習 演算子 代入演算子 インクリメント シフト演算子 型変換 4 場合に応じた処理 5 こういうプログラムを作りたい 5 教科のテスト

More information

スライド 1

スライド 1 計算機の構造とプログラムの実行 1 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域 命令命令命令 演算器 (ALU) 2 計算機の基本動作 プロセッサは, メモリのプログラム領域から命令をアドレス順に読み出して実行する 演算は ALU (Arithmetic Logic Unit) が行う 必要に応じて, メモリとプロセッサ内のレジスタとの間でデータを移動する

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 計算機実習 Ⅰ FORTRAN 担当 2018.05.29 本日の課題 プログラムの基本ルールを理解し 以下が含まれるプログラムを作成する (1) 文法の基礎 ( フローチャートなど ) (2) 変数宣言 (3) 入出力 (4) 四則演算 (5) 組込関数 (6) 判定文 (7) リダイレクション PROGRAM MAIN INTEGER I, J, K REAL A, B, C CHARACTER

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 POCO の 1 サイクルマイクロアーキテクチャ POCO は 作りながら学ぶコンピュータアーキテクチャ ( 倍風館 ) で使っている教育用の 16 ビット RISC である www.am.ics.keio.ac.jp/parthenon/pocobook/ も参照のこと POCO の構成 1 + + ext func[2:0] 2:0 THB ADD 00 01 10 comsel com S A

More information

hard3.pptx

hard3.pptx (3) 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに 命令セットアーキテクチャ 工学部講義 はじめに 本講義の目的 の基本を学ぶ 時間 場所 火曜日 8:30-10:15 工学部 2 号館 21 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/ha/

More information

スライド 1

スライド 1 3. 演算命令を学ぼう 本稿の Web ページ http://www.mybook-pub-site.sakura.ne.jp/pic/index.html 1 ; ADD このソースファイルを各自打ち込んで下さい. EQU 0x0C ; at 0C 足し算を実行するプログラムの例です. MOVLW B 00000001 ; Load 0x01 to W ADDLW B'00000011' ; W

More information

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB)

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB) コンピュータ工学講義プリント (12 月 11 日 ) 今回は ローテイト命令を用いて 前回よりも高度な LED の制御を行う 光が流れるプログラム 片道バージョン( 教科書 P.119 参照 ) 0.5 秒ごとに 教科書 P.119 の図 5.23 の様に LED の点灯パターンが変化するプログラムを作成する事を考える この様にすれば 光っている点が 徐々に右に動いているように見え 右端まで移動したら

More information

書式に示すように表示したい文字列をダブルクォーテーション (") の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf(" 情報処理基礎 "); printf("c 言語の練習 "); printf

書式に示すように表示したい文字列をダブルクォーテーション () の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf( 情報処理基礎 ); printf(c 言語の練習 ); printf 情報処理基礎 C 言語についてプログラミング言語は 1950 年以前の機械語 アセンブリ言語 ( アセンブラ ) の開発を始めとして 現在までに非常に多くの言語が開発 発表された 情報処理基礎で習う C 言語は 1972 年にアメリカの AT&T ベル研究所でオペレーションシステムである UNIX を作成するために開発された C 言語は現在使われている多数のプログラミング言語に大きな影響を与えている

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード ストア命令, 分岐命令 計算機はどのように構成されているのか

More information

Microsoft PowerPoint - Lec ppt [互換モード]

Microsoft PowerPoint - Lec ppt [互換モード] 2011-10-03 2011 年後学期 関連科目 履修条件等 計算機アーキテクチャ第二 (O) 1. 導入 大学院情報理工学研究科計算工学専攻吉瀬謙二 kise _at_ cs.titech.ac.jp S321 講義室月曜日 5,6 時限 13:20-14:50 1 4 学期 : 計算機論理設計 計算機を構成するプロセッサとその制御部に関し, 具体構成と設計の原理を講義する. 特に, レジスタトランスファ言語を用いて計算機の内部動作を記述し,

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

Microsoft PowerPoint - prog03.ppt

Microsoft PowerPoint - prog03.ppt プログラミング言語 3 第 03 回 (2007 年 10 月 08 日 ) 1 今日の配布物 片面の用紙 1 枚 今日の課題が書かれています 本日の出欠を兼ねています 2/33 今日やること http://www.tnlab.ice.uec.ac.jp/~s-okubo/class/java06/ にアクセスすると 教材があります 2007 年 10 月 08 日分と書いてある部分が 本日の教材です

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 11 週 制御アーキテクチャ メモリの仕組 2013 年 12 月 4 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ

More information

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

MW100 Modbusプロトコルによるデータ通信の設定について

MW100 Modbusプロトコルによるデータ通信の設定について Modbus プロトコルによるデータ通信の設定について 概要 設定の手順 DAQMASTER の Modbus 通信について 設定の手順を説明します このマニュアルでは イーサネットを使った Modbus 通信 (Modbus/TCP) で 2 台の を接続し データの送受信をするまでの手順を取り上げます なお Modbus クライアント機能を使うには 演算機能 (/M1 オプション ) が必要です

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

知識工学 II ( 第 2 回 ) 二宮崇 ( ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7.1 知識

知識工学 II ( 第 2 回 ) 二宮崇 ( ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7.1 知識 知識工学 II ( 第 回 ) 二宮崇 ( ninomiya@cs.ehime-u.ac.jp ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7. 知識に基づくエージェント知識ベース (knowledge base, KB): 文 の集合 他の 文 から導出されない

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 1 コンピュータの構造 1.1 パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置

More information

計算機アーキテクチャ特論 後半第2回 アウトオブオーダー実行 Out-of-Order Execution

計算機アーキテクチャ特論 後半第2回  アウトオブオーダー実行 Out-of-Order Execution 計算機アーキテクチャ特論 後半第 2 回 アウトオブオーダー実行 Out-of-Order Execution 講師加藤真平 本資料は授業用です 無断で転載することを禁じます 前回の理解度クイズ 問 1 マルチコア (CMP) 化が進んだ理由を簡潔に述べよ 答え消費電力や発熱の問題により 単一プロセッサの動作周波数を上げることができなくなったため 複数のプロセッサコアを並べることで性能を改善するようになった

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2019 年 5 月 13 日 東邦大学金岡晃 場合に応じた処理 1 こういうプログラムを作りたい 5 教科のテスト 100 点以上各科目の点数の合計が 100 点未満 おめでとう! これで 100 点越えのプレゼントを獲得! というメッセージを出力 残念!100 点越えのプレゼントまであと ** 点! というメッセージを出力 5 教科の点数の合計が

More information

内容概要本論文では 割込みの目的や原理を理解するとともに ハード / ソフト協調学習システムを用いて割込みプロセッサを設計することで ハードウェアとソフトウェアの両方の観点から知識を得ることを目的とし Verilog HDL によるシングルサイクルの割込みプロセッサを設計した 設計したプロセッサは

内容概要本論文では 割込みの目的や原理を理解するとともに ハード / ソフト協調学習システムを用いて割込みプロセッサを設計することで ハードウェアとソフトウェアの両方の観点から知識を得ることを目的とし Verilog HDL によるシングルサイクルの割込みプロセッサを設計した 設計したプロセッサは 卒業論文 ハード / ソフト協調学習システムを用いた 割込みプロセッサの設計 氏 名 : PISHVA JOHN CYRUS P 学籍番号 : 2260060133-8 担当教員 : 山崎勝弘教授 提出日 : 2010 年 2 月 18 日 立命館大学理工学部電子情報デザイン学科 内容概要本論文では 割込みの目的や原理を理解するとともに ハード / ソフト協調学習システムを用いて割込みプロセッサを設計することで

More information

講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュ

講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュ 計算機システム Ⅱ キャッシュと仮想記憶 和田俊和 講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュと仮想記憶 ( 本日 ) 10. 命令レベル並列処理

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード

More information

Microsoft PowerPoint - CompArch_Exercise3.pptx

Microsoft PowerPoint - CompArch_Exercise3.pptx 2018 年度 ( 平成 30 年度 ) 版 Ver. 2018-10-14a Course number: CSC.T363 コンピュータアーキテクチャ演習 (3) Computer Architecture Exercise(3) 情報工学系吉瀬謙二 Kenji Kise, Department co Computer Science kise_at_c.titech.ac.jp CSC.T363

More information

MIPSのマルチサイクル マイクロアーキテクチャ

MIPSのマルチサイクル マイクロアーキテクチャ MIPSのマルチサイクル マイクロアーキテクチャ 慶應義塾大学 天野 1 命令フォーマット 3種類の基本フォーマットを持つ 31 R-type opcode 31 I-type 21 20 rs 26 25 opcode 31 J-type 26 25 16 15 rt 21 20 rs 11 10 rd 5 16 15 rt 0 0 immediate 26 25 opcode 6 shift function

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 05 関数 (1) 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 関数とは p.162 数学的には入力に対して出力が決まるもの C 言語では入出力が定まったひとまとまりの処理 入力や出力はあるときもないときもある main() も関数の一種 何かの仕事をこなしてくれる魔法のブラックボックス 例 : printf() 関数中で行われている処理の詳細を使う側は知らないが,

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

情報処理演習 B8クラス

情報処理演習 B8クラス 予定スケジュール ( 全 15 回 ) 1 1. 終了 プログラミング言語の基礎 2. 終了 演算と型 3. 終了 プログラムの流れの分岐 (if 文,switch 文など ) 4. 終了 プログラムの流れの繰返し (do, while, for 文など ) 5. 終了 中間レポート1 6. 終了 配列 7. 終了 関数 8. 終了 文字列 ( 文字列の配列, 文字列の操作 ) 9. 終了 ポインタ

More information

出 アーキテクチャ 誰が 出 装置を制御するのか 1

出 アーキテクチャ 誰が 出 装置を制御するのか 1 出 アーキテクチャ 誰が 出 装置を制御するのか 1 が 出 装置を制御する メモリ ( 主記憶 ) 命令データ 出 装置 2 が 出 装置を制御する 命令 実 入出力装置を制御する命令を実行する メモリ ( 主記憶 ) 命令データ 制御 出 装置 3 が 出 装置を制御する メモリ ( 主記憶 ) 命令 実 制御 命令データ データを出力せよ 出 装置 4 が 出 装置を制御する メモリ ( 主記憶

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

break 文 switch ブロック内の実行中の処理を強制的に終了し ブロックから抜けます switch(i) 強制終了 ソースコード例ソースファイル名 :Sample7_1.java // 入力値の判定 import java.io.*; class Sample7_1 public stati

break 文 switch ブロック内の実行中の処理を強制的に終了し ブロックから抜けます switch(i) 強制終了 ソースコード例ソースファイル名 :Sample7_1.java // 入力値の判定 import java.io.*; class Sample7_1 public stati Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 今日の講義で学ぶ内容 switch 文 論理演算子 条件演算子 条件判断文 3 switch 文 switch 文 式が case のラベルと一致する場所から直後の まで処理しますどれにも一致しない場合 default: から直後の まで処理します 式は byte, short, int, char 型 ( 文字または整数 ) を演算結果としますラベルには整数リテラル

More information

Microsoft PowerPoint - vlsi4.ppt [互換モード]

Microsoft PowerPoint - vlsi4.ppt [互換モード] (4) 工学部講義 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻 東京大学工学部電子情報工学科 / 電気工学科 はじめに CPU の設計 (3) はじめに 本講義の目的 の基本を学ぶ : 機能 VLSI 対象者 : 工学部 4 年生以上 担当者 坂井修一 プロセッサ VLSI 池田誠 アルゴリズム VLSI 時間 場所 水曜日 8:30-10:15 工学部 2 号館 243 前提となる知識

More information