3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

Size: px
Start display at page:

Download "3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo"

Transcription

1 3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],..., r[7] (program counter) PC 1

2 Rs Rd op3 d (a) op1 Ra Rb d (b) op2 Rb d (c) cond d (d) 1: SIMPLE (condition codes) 4 S(sign), Z(zero), C(carry), V(overflow) S: 1 0 Z: 1 0 C: 1 0 V: C 2.2 (instruction set architecture) SIMPLE 1 (16 ) (a) I 15:14 (op1)..... I 13:11 (Rs) I 10:8 (Rd) (11) (operation code, opcode) I 7:4 (op3) ( ) I 3:0 (d)

3 (b) I 15:14 (op1)..... (00/01) I 13:11 (Ra) I 10:8 (Rb) I 7:0 (d) (displacement) (c) I 15:14 (op1)..... (10) I 13:11 (op2)..... ( ) I 10:8 (Rb) I 7:0 (d) (d) I 15:14 (op1)..... (10) I 13:11 (op2)..... (111) I 10:8 (cond)..... I 7:0 (d) SIMPLE 1 1. Rd Rs (ADD: add) (SUB: subtract) Rd C 2. Rd Rs (AND: and), (OR: or) (XOR: exclusive-or) Rd C 0 3. (CMP: compare) Rd Rs C 4. (MOV: move) Rd Rs Rd C Rs 0 5. Rd Rd SLL (shift left logical)

4 SLR (shift left rotate) SRL (shift right logical) SRA (shift right arithmetic)... 0 d (0 15) C 0 SLR 0 V 0 6. IN (input) OUT (output)... HLT (halt) Rd Rs 7SEG LED SIMPLE (reserved) 1 1: SIMPLE Rs Rd op3 d mnemonic op3 function ADD Rd,Rs 0000 r[rd] = r[rd] + r[rs] SUB Rd,Rs 0001 r[rd] = r[rd] - r[rs] AND Rd,Rs 0010 r[rd] = r[rd] & r[rs] OR Rd,Rs 0011 r[rd] = r[rd] r[rs] XOR Rd,Rs 0100 r[rd] = r[rd] ^ r[rs] CMP Rd,Rs 0101 r[rd] - r[rs] MOV Rd,Rs 0110 r[rd] = r[rs] (reserved) 0111 SLL Rd,d 1000 r[rd] = shift left logical(r[rd],d) SLR Rd,d 1001 r[rd] = shift left rotate(r[rd],d) SRL Rd,d 1010 r[rd] = shift right logical(r[rd],d) SRA Rd,d 1011 r[rd] = shift right arithmetic(r[rd],d) IN Rd 1100 r[rd] = input OUT Rs 1101 output = r[rs] (reserved) 1110 HLT 1111 halt() 1 4

5 SIMPLE (LD: load) (ST: store) 2 Ra Ra Rb Rb d sign ext(d) 2: SIMPLE op1 Ra Rb d mnemonic op1 function LD Ra,d(Rb) 00 r[ra] = *(r[rb] + sign ext(d)) ST Ra,d(Rb) 01 *(r[rb] + sign ext(d)) = r[ra] SIMPLE (LI: load immediate) (B: branch) 3 LI..... B sign ext(d) Rb d PC 3: SIMPLE op2 Rb d mnemonic op2 function LI Rb,d 000 r[rb] = sign ext(d) (reserved) 001 (reserved) 010 (reserved) 011 B d 100 PC = PC sign ext(d) (reserved) 101 (reserved) 110 ( ) SIMPLE 4 cond PC BE (branch on equal-to) Z 1 5

6 BLT (branch on less-than) S V XOR(S ^ V) 1 BLE (branch on less-than or equal-to) Z (S ^ V) 1 BNE (branch on not-equal-to) Z 0 4: SIMPLE cond d mnemonic cond function BE d 000 if (Z) PC = PC sign ext(d) BLT d 001 if (S ^ V) PC = PC sign ext(d) BLE d 010 if (Z (S ^ V)) PC = PC sign ext(d) BNE d 011 if (!Z) PC = PC sign ext(d) (reserved) 100 (reserved) 101 (reserved) 110 (reserved) SIMPLE CPU 5 SIMPLE/B SIMPLE/B SIMPLE/B 2 p1 p5 5 LED 7SEG LED 3.1 SIMPLE/B 1. clock Hi/Lo 1 : 1 3 6

7 p1 +1 PC clock reset exec + p2 IR p3 BR AR ALU p4 p5 SZCV DR MDR 2: SIMPLE/B 2. reset reset 1 SIMPLE/B PC 3. exec SIMPLE/B exec 0 1 SIMPLE/B SIMPLE/B exec [reset] [exec] 7

8 clock phase p1 p2 p3 p4 p5 p1 3: SIMPLE/B 3.2 p1 p5 SIMPLE/B p1 p p1 PC(Program Counter) IR(Instruction Register) PC 1 2. p2 IR Ra/Rs Rb/Rd AR BR 3. p3 ALU DR(Data Register) S, Z, C AR, BR PC 4. p4 DR MDR(Memory Data Register) AR MDR AR 7SEG LED 5. p5 DR MDR Ra,Rb, Rs DR PC 3.3 SIMPLE 64KW FPGA RAM SIMPLE FPGA FPGA RAM 64KW (PowerMedusa MU500-RX CycloneIV EP4CE30 33KW ) 8

9 FPGA RAM PC DR IR MDR AR (write enable) 1 IN OUT PowerMedusa MU500-RX/RK/7SEG DIP 2 LED SEG LED reset, exec 4 2 SIMPLE Branch Register Branch And Link

10 4.1 r[0] 1 LI R1, 1 ADD R0, R1 2 1 d Rd d r[rd] + r[rs] r[rd] + sign ext(d) (d 1 ) r[rd] + r[rs] + sign ext(d) 4.2 IN OUT 16 PowerMedusa EC6S 16 IN Rs d OUT Rd d 8 7SEG LED SEG LED 7SEG 8 8 7SEG LED 64 LED 5 Rd d 2 1 OUT 2 10

11 4.3 Branch Register Branch And Link 1. PC PC 1 1. Branch And Link(BAL) 3. Branch Register(BR) PC BAL PC BR MIPS BAL PC 31 ( ) d 1 2 d (reserved) 11

12 4 (reserved) PC 2. PC 3. PC 4. PC PC 6. PC 1. BAL, BR PC PC 3 IN IN IN IN IN 12

13 1 1 SIMPLE PowerMedusa EC6S 4.6 (Digital Signal Processor ) 1 (MAC: Multiply ACcumulate) r[c] = r[c] + r[a] * r[b] r[c] = r[c] op2 (r[a] op1 r[b]) (op1, op2 ) op1 op2 r[a], r[b] r[c], r[a] 1 13

14 4.7 ( ) SIMPLE/B if-else if A,B else A,B if if-else SIMPLE/B 1. p1/p5 ( 4(a)) 4 PC 2. p1/p3 p2/p5 ( 4(b)) 3 p1/p3 ( p3 ) p2/p5 3. p1/p3/p5 p2/p4 ( 4(c))

15 A p1 p2 p3 p4 p5 B p1 p2 p3 p4 p5 C p1 (a)p1/p5 A p1 p2 p3 p4 p5 B p1 p2 p3 p4 p5 C p1 p2 (b)p1/p3 p2/p5 A p1 p2 p3 p4 p5 B p1 p2 p3 p4 p5 C p1 p2 p3 p4 p5 (c)p1/p3/p5 p2/p4 A p1 p2 p3 p4 p5 B p1 p2 p3 p4 p5 C p1 p2 p3 p4 p5 D p1 p2 p3 p4 p5 E p1 p2 p3 p4 p5 (d)p1/p2/p3/p4/p5 4: 4. p1/p2/p3/p4/p5 ( 4(d)) ( ) PC PC ( ) ( ) Intel Pentium ( )

16 RAM RAM p2 1 Rd(Ra,Rb) 2 Rb,Rs,Rb,Ra 1 p p3 2 p4 RAM FPGA 2 1 RAM p FPGA Web 7SEG LED PowerMedusa [ 1 ] [ ] ( ),. 16

17 [ ] [ ] ( ) 7 : 17

18 A Rs Rd op3 d mnemonic op3 function ADD Rd,Rs 0000 r[rd] = r[rd] + r[rs] SUB Rd,Rs 0001 r[rd] = r[rd] - r[rs] AND Rd,Rs 0010 r[rd] = r[rd] & r[rs] OR Rd,Rs 0011 r[rd] = r[rd] r[rs] XOR Rd,Rs 0100 r[rd] = r[rd] ^ r[rs] CMP Rd,Rs 0101 r[rd] - r[rs] MOV Rd,Rs 0110 r[rd] = r[rs] (reserved) 0111 SLL Rd,d 1000 r[rd] = shift left logical(r[rd],d) SLR Rd,d 1001 r[rd] = shift left rotate(r[rd],d) SRL Rd,d 1010 r[rd] = shift right logical(r[rd],d) SRA Rd,d 1011 r[rd] = shift right arithmetic(r[rd],d) IN Rd,d 1100 r[rd] = input OUT Rs 1101 output = r[rs] (reserved) 1110 HLT 1111 halt() op1 Ra Rb d mnemonic op1 function LD Ra,d(Rb) 00 r[ra] = *(r[rb] + sign ext(d)) ST Ra,d(Rb) 01 *(r[rb] + sign ext(d)) = r[ra] op2 Rb d mnemonic op2 function LI Rb,d 000 r[rb] = sign ext(d) (reserved) 001 (reserved) 010 (reserved) 011 B d 100 PC = PC sign ext(d) (reserved) 101 (reserved) 110 ( ) cond d mnemonic cond function BE d 000 if (Z) PC = PC sign ext(d) BLT d 001 if (S ^ V) PC = PC sign ext(d) BLE d 010 if (Z (S ^ V)) PC = PC sign ext(d) BNE d 011 if (!Z) PC = PC sign ext(d) (reserved) 100 (reserved) 101 (reserved) 110 (reserved) 111

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

Microsoft PowerPoint - NxLec ppt

Microsoft PowerPoint - NxLec ppt MIPS R3000 Instruction Set Architecture (ISA) 計算機アーキテクチャ特論 (Advanced Computer Architectures) 2. スカラプロセッサ, スーパースカラプロセッサ Instruction Categories Computational Load/Store Jump and Branch Floating Point coprocessor

More information

Microsoft PowerPoint - NxLecture ppt [互換モード]

Microsoft PowerPoint - NxLecture ppt [互換モード] 011-05-19 011 年前学期 TOKYO TECH 命令処理のための基本的な 5 つのステップ 計算機アーキテクチャ第一 (E) 5. プロセッサの動作原理と議論 吉瀬謙二計算工学専攻 kise_at_cs.titech.ac.jp W61 講義室木曜日 13:0-1:50 IF(Instruction Fetch) メモリから命令をフェッチする. ID(Instruction Decode)

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語

More information

2016 3

2016 3 JAIST Reposi https://dspace.j Title 命令セットによるマイクロアーキテクチャへの影響に 関する研究 [ 課題研究報告書 ] Author(s) 桑田, 正明 Citation Issue Date 2016-03 Type Thesis or Dissertation Text version author URL http://hdl.handle.net/10119/13635

More information

Microsoft PowerPoint - Lecture ppt [互換モード]

Microsoft PowerPoint - Lecture ppt [互換モード] 2012-05-31 2011 年前学期 TOKYO TECH 固定小数点表現 計算機アーキテクチャ第一 (E) あまり利用されない 小数点の位置を固定する データ形式 (2) 吉瀬謙二計算工学専攻 kise_at_cs.titech.ac.jp W641 講義室木曜日 13:20-14:50-2.625 符号ビット 小数点 1 0 1 0 1 0 1 0 4 2 1 0.5 0.25 0.125

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

Microsoft PowerPoint - ProcML-12-3.ppt

Microsoft PowerPoint - ProcML-12-3.ppt プロセッサと 年次前次前期 ( 第 回 ) 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow か? () + + () + + 答 答 中島克人 情報メディア学科 nakajima@im.dendai.ac.jp () - = + + 答 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow

More information

2005 1

2005 1 25 SPARCstation 2 CPU central processor unit 25 2 25 3 25 4 DRAM 25 5 25 6 : DRAM 25 7 2 25 8 2 25 9 2 bit: binary digit V 2V 25 2 2 2 2 4 5 2 6 3 7 25 A B C A B C A B C A B C A C A B 3 25 2 25 3 Co Cin

More information

WJ-HD SHIFT /0 PULL Digital Disk Recorder WJ-HD 316

WJ-HD SHIFT /0 PULL Digital Disk Recorder WJ-HD 316 WJ-HD36 SHIFT 3 4 5 6 7 8 9 0/0 PULL 3 4 5 6 Digital Disk Recorder WJ-HD 36 q w e r t y 3 4 5 6 7 8 9 0 3 4 5 q w 6 q w e r t y 7 SHIFT 3 4 5 6 7 8 9 0/0 HDD HDD 3 4 5 6 8 9 PULL Digital Disk Recorder

More information

橡ボーダーライン.PDF

橡ボーダーライン.PDF 1 ( ) ( ) 2 3 4 ( ) 5 6 7 8 9 10 11 12 13 14 ( ) 15 16 17 18 19 20 ( ) 21 22 23 24 ( ) 25 26 27 28 29 30 ( ) 31 To be or not to be 32 33 34 35 36 37 38 ( ) 39 40 41 42 43 44 45 46 47 48 ( ) 49 50 51 52

More information

Microsoft PowerPoint - NxLec-2010-11-01.ppt

Microsoft PowerPoint - NxLec-2010-11-01.ppt 2010 年 後 学 期 レポート 問 題 計 算 機 アーキテクチャ 第 二 (O) 4. シングルサイクルプロセッサの 実 装 とパイプライン 処 理 大 学 院 情 報 理 工 学 研 究 科 計 算 工 学 専 攻 吉 瀬 謙 二 kise _at_ cs.titech.ac.jp S321 講 義 室 月 曜 日 5,6 時 限 13:20-14:50 1 1. 1から100までの 加 算

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 7 週命令セットアーキテクチャ ( 命令の表現 命令の実行の仕組 ) 2013 年 11 月 6 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

PRECISION DIGITAL PROCESSOR DC-101

PRECISION DIGITAL PROCESSOR DC-101 PRECISION DIGITAL PROCESSOR Accuphase warranty is valid only in Japan. 2 3 1 4 5 IN 6 10 11 7 8 9 12 3 INPUT LEVEL(dB) 2 4 5 PRECISION DIGITAL PROCESSOR STEREO MHZ SELECTIVITY METER NORMAL SIGNAL MEMORY

More information

compiler-text.dvi

compiler-text.dvi 2018.4 1 2 2.1 1 1 1 1: 1. (source program) 2. (object code) 3. 1 2.2 C if while return C input() output() fun var ( ) main() C (C-Prime) C A B C 2.3 Pascal P 1 C LDC load constant LOD load STR store AOP

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T341 コンピュータ論理設計 Computer Logic Design 10. シングルサイクルプロセッサのデータパス Datapath for Single Cycle Processor 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

橡Pascal-Tの挙動を調べる

橡Pascal-Tの挙動を調べる PROGRAM SAMPLE01(INPUT, OUTPUT); BEGIN END. PROGRAM SAMPLE02(INPUT, OUTPUT); VAR X, Y, Z : INTEGER; BEGIN X := 1; Y := 2; Z := X + Y; WRITELN(Z); END. #!/usr/local/bin/perl #PASCAL-T OBJECT MAC FILE

More information

ディジタルシステム設計

ディジタルシステム設計 Z80 Z80 Z80 Z80 ROM RAM I/O 8255 8251 Z80PIO Z80CTC Z80SIO R C L Tr OP TTL MCB Z MC Z Z80 Z80 TMPZ84015BF KL5C8012 64180 H8 H8 PIC Microchip Technology PIC Z80 F A A' ALU B D H C E L IX IY SP PC C E L

More information

アセンブラ入門(CASL II) 第3版

アセンブラ入門(CASL II) 第3版 CASLDV i COMET II COMET II CASL II COMET II 1 1 44 (1969 ) COMETCASL 6 (1994 ) COMETCASL 13 (2001 ) COMETCASL COMET IICASL II COMET IICASL II CASL II 2001 1 3 3 L A TEX 2 CASL II COMET II 6 6 7 Windows(Windows

More information

Microsoft PowerPoint - Lec ppt [互換モード]

Microsoft PowerPoint - Lec ppt [互換モード] 2011-10-03 2011 年後学期 関連科目 履修条件等 計算機アーキテクチャ第二 (O) 1. 導入 大学院情報理工学研究科計算工学専攻吉瀬謙二 kise _at_ cs.titech.ac.jp S321 講義室月曜日 5,6 時限 13:20-14:50 1 4 学期 : 計算機論理設計 計算機を構成するプロセッサとその制御部に関し, 具体構成と設計の原理を講義する. 特に, レジスタトランスファ言語を用いて計算機の内部動作を記述し,

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 命令と命令表現 ( 教科書 3.1 節 ~3.4 節 ) プロセッサの命令と命令セット 命令 : プロセッサへの指示 ( プロセッサが実行可能な処理 ) 加算命令 減算命令 論理演算命令 分岐命令 命令セット : プロセッサが実行可能な命令の集合 ( プログラマから見えるプロセッサの論理仕様 ) プロセッサ A 加算命令分岐命令 プロセッサ B 加算命令減算命令 命令セットに含まれない命令は直接実行できない!

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2 I. Nios II Nios II 1 2 3 4 SOPC Builder Nios II Altera Corporation I 1 Nios II 1 4 Nios II Nios II / 1 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 2 2004 12 v1.2 ctl5 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 3 2004

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Digital Disk Recorder WJ-HD316A 1 2 SHIFT /0 PULL WJ-HD 316A

Digital Disk Recorder WJ-HD316A 1 2 SHIFT /0 PULL WJ-HD 316A Digital Disk Recorder WJ-HD36A SHIFT 3 4 5 6 7 8 9 0/0 PULL 3 4 5 6 WJ-HD 36A 3 q w e r t y u 4 5 6 7 8 9 0 3 4 5 6 q w 7 q w e r t y 8 9 SHIFT 3 4 5 6 7 8 9 0/0 HDD HDD 3 4 5 6 0 PULL Digital Disk

More information

1 Code Generation Part I Chapter 8 (1 st ed. Ch.9) COP5621 Compiler Construction Copyright Robert van Engelen, Florida State University,

1 Code Generation Part I Chapter 8 (1 st ed. Ch.9) COP5621 Compiler Construction Copyright Robert van Engelen, Florida State University, 1 Code Generation Part I Chapter 8 (1 st ed. Ch.9) COP5621 Compiler Construction Copyright Robert van Engelen, Florida State University, 2007-2013 2 Position of a Code Generator in the Compiler Model Source

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

/ FPGA LSI [1] CDP DDP 2 LSI FPGA PicoProcessor(pP)[2] (STP)[1] DDP 1.27 i

/ FPGA LSI [1] CDP DDP 2 LSI FPGA PicoProcessor(pP)[2] (STP)[1] DDP 1.27 i 22 / FPGA A Study of FPGA Platform for Architecture Evaluation of a Data-Driven/Control-Driven Processor 1110232 / FPGA LSI [1] CDP DDP 2 LSI FPGA PicoProcessor(pP)[2] (STP)[1] DDP 1.27 i Abstract A Study

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

90 120.0 80 70 72.8 75.1 76.7 78.6 80.1 80.1 79.6 78.5 76.8 74.8 72.4 69.5 95.6% 66.4 100.0 60 80.0 50 40 60.0 30 48.3% 38.0% 40.0 20 10 10.4% 20.0 0 S60 H2 H7 H12 H17 H22 H27 H32 H37 H42 H47 H52 H57 0.0

More information

WJ-HD SHIFT /0 PULL Digital Disk Recorder WJ-HD 350

WJ-HD SHIFT /0 PULL Digital Disk Recorder WJ-HD 350 WJ-HD350 SHIFT 3 4 5 6 7 8 9 0/0 PULL 3 4 5 6 350 Digital Disk Recorder WJ-HD 350 3 q w e r t y u 4 5 6 7 8 9 0 3 4 5 6 q w 7 q w e r t y u 8 9 SHIFT 3 4 5 6 7 8 9 0/0 PULL HDD HDD 3 4 5 6 Digital Disk

More information

only my information

only my information 1 only my information 2010 17 Special thanks 17 2006 2010 60 90 A4 2 1 1 CD 2 3 A B A B A 1/4 B B 3/4 1. 2. A 3 A 3. B 3 B http://www.edu.c.utokyo.ac.jp/edu/information.html only my information 2 2006

More information

main.dvi

main.dvi D-RMTP II IO Companion Chip Ver. 1.00 26 10 14 1 1 Abstract 3 2 Pin Assignment 5 3 Instruction 13 3.1............................................... 13 3.2.............................................

More information

福岡大学人文論叢47-3

福岡大学人文論叢47-3 679 pp. 1 680 2 681 pp. 3 682 4 683 5 684 pp. 6 685 7 686 8 687 9 688 pp. b 10 689 11 690 12 691 13 692 pp. 14 693 15 694 a b 16 695 a b 17 696 a 18 697 B 19 698 A B B B A B B A A 20 699 pp. 21 700 pp.

More information

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

xi21-x.dvi

xi21-x.dvi 8 7 1 1 1 2018 12 21 2018 (2) : 50, 67, 60 ( ),, (8 7 1 1),, WWW ( ) (ID ) : WWW :, 1 11 ( ) MIPS x86 Mem[a,b], a b MIPS lw Rt,Imm(Rs) Rt = Mem[ Rs + sx(imm),4] sw Rt,Imm(Rs) Mem[ Rs + sx(imm),4] = Rt

More information

スライド 1

スライド 1 計算機の構造とプログラムの実行 1 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域 命令命令命令 演算器 (ALU) 2 計算機の基本動作 プロセッサは, メモリのプログラム領域から命令をアドレス順に読み出して実行する 演算は ALU (Arithmetic Logic Unit) が行う 必要に応じて, メモリとプロセッサ内のレジスタとの間でデータを移動する

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

Цифровой спутниковый ресивер Lumax DV 2400 IRD

Цифровой спутниковый ресивер Lumax DV 2400 IRD 13... 3 1.... 5 1.1 1.2... 5... 6 2.... 7 2.1 2.2 2.3... 7... 8... 9 3.... 10 3.1... 10 3.1.1 RF...10 3.1.2 SCART...11 3.2... 12 3.2.1 DISH IN...12 3.2.2 DiSEqC...13 4..... 14 4.1 0003.... 15 4.1.1...15

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 7. MIPS の命令と動作 分岐 ジャンプ 関数呼出し ( 教科書 7 章命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 分岐 ジャンプ命令 条件文や繰り返し文などを実現するには, 命令の実行順の制御が必要 (C 言語

More information

2018_yearbook_ indd

2018_yearbook_ indd JAPAN CENTURY SYMPHONY ORCHESTRA YEAR BOOK 2018-19 Passion to 30 th Japan Century Symphony Orchestra Takeo Mizuno 1 YEAR BOOK 2018 2 3 5 6 7 9 19 21 25 27 29 32 33 35 36 37 41 43 45 YEAR BOOK 2018 4 Norichika

More information

dp0206_j

dp0206_j 1 2 7 8 9 4 5 6 3 10 11 12 13 20 19 18 16 17 15 14 CONTROL AUDIO 2 AUDIO 1 CN2 CN1 CN20 CN15 1 2 3 4 H C E (E) 1 2 3 E C H 1 2 3 4 C 8 7 6 5 6 7 8 9 5 4 3 2 1 E A B SIGNAL/

More information

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1 1 8 Z80 Z80 20 8080 GBA ASIC 2 WINDOWS C 1 2.1 Z-80 A 0 - A 15 CPU Z80 D 0- D 7 I/O Z80 1: 1 (1) CPU CPU Z80 CPU Z80 AND,OR,NOT, (2) CPU (3) I/O () Z80 (4) 2 Z80 I/O 16 16 A 0, A 1,, A 15 (5) Z80I/O 8

More information

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops ボードの概要 Control processor (FPGA by Altera) GRAPE-MP chip[nextreme

More information

main.dvi

main.dvi PC 1 1 [1][2] [3][4] ( ) GPU(Graphics Processing Unit) GPU PC GPU PC ( 2 GPU ) GPU Harris Corner Detector[5] CPU ( ) ( ) CPU GPU 2 3 GPU 4 5 6 7 1 toyohiro@isc.kyutech.ac.jp 45 2 ( ) CPU ( ) ( ) () 2.1

More information

untitled

untitled 16 4 1 17 1 50 -1- -2- -3- -4- -5- -6- -7- 1 2-8- -9- -10- -11- Web -12- (1) (2)(1) (3) (4) (1)()(2) (3)(4) -13- -14- -15- -16- -17- -18- -19- -20- -21- -22- -23- (2)(1) (3) -24- -25- -26- -27- -28- -29-

More information

2

2 C-DR0905 1 2 3 4 5 6 7 8 9 2 3 4 5 6 7 8 9 10 11 12 13 1 2 3 4 5 6 7 8 9 14 1 2 3 4 5 6 7 8 9 1 2 3 4 5 6 7 8 9 15 1 2 3 4 5 6 7 8 9 16 1 2 3 4 5 6 7 8 9 ON MAIN AC IN~ 100V OFF Y/C VIDEO OUTPUT A OUTPUT

More information

MAP2496.PDF

MAP2496.PDF Audiophile 2496 24 Bit 96 khz 4 in/ 4 out PCI Digital Recording Interface with MIDI 2 M-AUDIO 3 PCI 1. INS1&2 IN1 IN2 2. OUTS1&2 OUT1 OUT2 3. 15 D-sub S/PDIF MIDI 4. S/PDIF S/PDIF DAT MD A/D S/PDIF 5.

More information

Microsoft PowerPoint - CompArch_Exercise3.pptx

Microsoft PowerPoint - CompArch_Exercise3.pptx 2018 年度 ( 平成 30 年度 ) 版 Ver. 2018-10-14a Course number: CSC.T363 コンピュータアーキテクチャ演習 (3) Computer Architecture Exercise(3) 情報工学系吉瀬謙二 Kenji Kise, Department co Computer Science kise_at_c.titech.ac.jp CSC.T363

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

imai@eng.kagawa-u.ac.jp No1 No2 OS Wintel Intel x86 CPU No3 No4 8bit=2 8 =256(Byte) 16bit=2 16 =65,536(Byte)=64KB= 6 5 32bit=2 32 =4,294,967,296(Byte)=4GB= 43 64bit=2 64 =18,446,744,073,709,551,615(Byte)=16EB

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

DB0

DB0 IRQ CS# A0 RD# WR# DB0- CPU I/F FIFO/RAM 88 Timing Control Key In Control Scan Counter SHIFT CNTl/STB RL0-# SL0- BD# RESET CLK Display RAM 8 Display Drive OUTB0- OUTA0- RL# RL# RL# RL# RESET RD# WR# GND

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

1 1 Abstract 7 2 Pin Assignment 9 3 Instruction

1 1 Abstract 7 2 Pin Assignment 9 3 Instruction I/O Core Ver. 2.00 6 28 11 23 1 1 Abstract 7 2 Pin Assignment 9 3 Instruction 17 3.1............................................... 17 3.2........................................... 17 3.3.............................................

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 5. 命令セットアーキテクチャ ( 教科書 6.1 節, 6.2 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域

More information

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション Version2.0(2009.2.6) Copyright 2008 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Kontakttechnik GmbH Hansastraße 27 D-32423 Minden Phone: +49 (0) 571/8 87 0 Fax: +49 (0) 571/8 87 1 69 E-Mail:

More information

6. パイプライン制御

6. パイプライン制御 6. パイプライン制御 パイプライン (Pipelining) 命令のスループットをあげて性能を向上する Program eection order Time (in instrctions) lw $, ($) fetch 2 4 6 8 2 4 6 8 Data access lw $2, 2($) 8 ns fetch Data access lw $3, 3($) Program eection

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

untitled

untitled 3 4 10 12 45 1 --- 1 2 2011 5 11 1 1 2 7. 6. 2011 Google- 2011 ZENRIN 6. 2011 Google- 2011 ZENRIN 4. 5. 5. 4. 3. 1. 2. 3. 2. 1. 2011 Google- 2011 ZENRIN (Google http://maps.google.co.jp/) 2 3 JR 398 1.5km

More information

The 3 key challenges in programming for MC

The 3 key challenges in programming for MC Aug 3 06 Software &Solutions group Intel Intel Centrino Intel NetBurst Intel XScale Itanium Pentium Xeon Intel Core VTune Intel Corporation Intel NetBurst Pentium Xeon Pentium M Core 64 2 Intel Software

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

ストリーミング SIMD 拡張命令2 (SSE2) を使用した、倍精度浮動小数点ベクトルの最大/最小要素とそのインデックスの検出

ストリーミング SIMD 拡張命令2 (SSE2) を使用した、倍精度浮動小数点ベクトルの最大/最小要素とそのインデックスの検出 SIMD 2(SSE2) / 2.0 2000 7 : 248602J-001 01/10/30 1 305-8603 115 Fax: 0120-47-8832 * Copyright Intel Corporation 1999-2001 01/10/30 2 1...5 2...5 2.1...5 2.1.1...5 2.1.2...8 3...9 3.1...9 3.2...9 4...9

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 5. 命令セットアーキテクチャ ( 教科書 6.1 節, 6.2 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域 命令命令命令

More information

5V 2.4 DSOF 4 1 1-1 1-2 5V 1-3 SET RESET 5V 5V 1-4 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 1 2 3 4 5 2 2-1 SET RESET 5V 5V 2-2 1 2 3 5V 5V 1 2 3 4 2-3 2-4

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

e ::= c op(e 1,..., e n ) if e 1 then e 2 else e 3 let x = e 1 in e 2 x let rec x y 1... y n = e 1 in e 2 e e 1... e n (e 1,..., e n ) let (x 1,..., x

e ::= c op(e 1,..., e n ) if e 1 then e 2 else e 3 let x = e 1 in e 2 x let rec x y 1... y n = e 1 in e 2 e e 1... e n (e 1,..., e n ) let (x 1,..., x e ::= c op(e 1,..., e n ) if e 1 then e 2 else e 3 let x = e 1 in e 2 x let rec x y 1... y n = e 1 in e 2 e e 1... e n (e 1,..., e n ) let (x 1,..., x n ) = e 1 in e 2 Array.create e 1 e 2 e 1.(e 2 ) e

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

untitled

untitled PS2 Scan CodeASCII PS2 Scan Code ASCII PS2 ASCII Pin IC TTL C 1byte ASCII 2byte(FunctionKey ) Shift,Ctrl,Alt,CapsLock,NumLock Function Key Pin Vcc(V) GND N.C PIC12F629 Or PS2 Clock PIC12F675 Out Put Enable

More information

NAND FF,,

NAND FF,, 1. 1.1. NAND FF,, 1.2. 2. 1 3. アドレス ( 番地 ) 0 99 1 3 2 4 3 20 4 2 5 20 4. 8bit(0255) 7(3+4) 16 8 命令表 (0~255) コード内容 ( 機械語 ) ( 次の番地の内容 )+( 次の次の番地の内 99 容 ) の結果を次の次の次に書いてある番地に格納 2STOP A0A7, A8A15 D0D7 2 4.2.

More information

BIT -2-

BIT -2- 2004.3.31 10 11 12-1- BIT -2- -3-256 258 932 524 585 -4- -5- A B A B AB A B A B C AB A B AB AB AB AB -6- -7- A B -8- -9- -10- mm -11- fax -12- -13- -14- -15- s58.10.1 1255 4.2 30.10-16- -17- -18- -19-6.12.10

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

WJ-HD500B V8QA6060BN

WJ-HD500B V8QA6060BN WJ-HD500B Digital Disk Recorder WJ-HD500 500B 2 3 4 5 6 7 8 Digital Disk Recorder WJ-HD500 9 FS 16 q w e r t y u i o!0!1!2!3!4!5!6!7!8 10 !9 @0 @1 @2 @3 @4 @5 @6 @7 @8 @9 #0 11 SIGNAL GND 10/100BASE-T

More information

1 1 2 3 4 5 1 2 3 4 5 6 1 2 3 4 1 2 3 4 5 6 7 1 2 1 1 2 CX-Programmer USB A B CP1E CPU USB ( ) (USB) USB2.0 B USB USB2.0 5 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 6 1 2 1 2 L1 L2/N COM 01 03 05 07 09 11 01 03 05

More information

CASL入門

CASL入門 4 章 機械語の設計 ここでは 機械語の設計をしてみましょう 機械語の設計! そんなことができるのでしょうか 情報処理技術者試験の CASLⅡ 説明書の参考資料には 命令後の構成は定義しないが と記載されています アセンブラ言語を理解するためには機械語の理解が非常に大切になりますし 自分で設計してみれば格段に理解が容易になります そこで 定義されていないなら 定義してしまおう というわけです CASLⅡが動くコンピュータである

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

計算機アーキテクチャ特論 後半第2回 アウトオブオーダー実行 Out-of-Order Execution

計算機アーキテクチャ特論 後半第2回  アウトオブオーダー実行 Out-of-Order Execution 計算機アーキテクチャ特論 後半第 2 回 アウトオブオーダー実行 Out-of-Order Execution 講師加藤真平 本資料は授業用です 無断で転載することを禁じます 前回の理解度クイズ 問 1 マルチコア (CMP) 化が進んだ理由を簡潔に述べよ 答え消費電力や発熱の問題により 単一プロセッサの動作周波数を上げることができなくなったため 複数のプロセッサコアを並べることで性能を改善するようになった

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

untitled

untitled 2004.11.18 1 3C everywhere Aoyama Morikawa Laboratory 2 3C Everywhere Computing everywhere PDA, Contents everywhere XMLmp3 1 terabyte 1 zettabyte (10 21 ) Connectivity everywhere 2G/3G/4GLAN DSL, DSRC,

More information