Quartus II Volume 3 Arria GX Stratix Cyclone HardCopy II MAX II Microsoft Excel PowerPlay Early Power Estimator PowerPlay Power Analyzer Arria GX Stra

Size: px
Start display at page:

Download "Quartus II Volume 3 Arria GX Stratix Cyclone HardCopy II MAX II Microsoft Excel PowerPlay Early Power Estimator PowerPlay Power Analyzer Arria GX Stra"

Transcription

1 11. PowerPlay QII PCB PowerPlay PowerPlay Higher PowerPlay Early Power Estimators Quartus II PowerPlay Power Analyzer Estimation Accuracy User Input Quartus II Design Profile Place-and-Route Results Simulation Results Design Concept Design Implementation Lower PowerPlay Power Analysis Inputs Higher Quartus II PowerPlay Early Power Estimator PowerPlay Power Analyzer PowerPlay Early Power Estimator PowerPlay Power Analyzer Altera Corporation

2 Quartus II Volume 3 Arria GX Stratix Cyclone HardCopy II MAX II Microsoft Excel PowerPlay Early Power Estimator PowerPlay Power Analyzer Arria GX Stratix Cyclone HardCopy II MAX II PowerPlay Power Estimator PowerPlay Early Power Estimators (EPE) and Power Analyzer Quartus II Early Power Estimator PowerPlay Power Analyzer Quartus II Early Power Estimator Early Power Estimator Quartus II Power Estimator PowerPlay Early Power Estimator Power Estimator Quartus II Project Generate PowerPlay Early Power Estimator File 11 2 Quartus II Power Estimator.csv [.txt] 11 2 Altera Corporation

3 Quartus II Early Power Estimator Generate PowerPlay Early Power Estimator File Quartus II Power Estimator Generate PowerPlay Early Power Estimator File Power Estimator <name of Quartus II project> _early_pwr.csv 11 4 Stratix II Quartus II Power Estimation Altera Corporation

4 Quartus II ハンドブック Volume 3 図 Power Estimation ファイルの例 8.0 Internal Build /28/2008 SJ Full Version デバイス ファミリによっては Power Estimation ファイルの 名前は <name of Quartus II project> _early_pwr.txt になります PowerPlay Early Power Estimator スプレッドシートには Power Estimation ファイル内の情報を解析して その情報をスプレッドシート に転送する Import Data マクロが含まれています マクロを使用しない 場合は データを Early Power Estimator スレッドシートに手動で転送し ます 既存の Quartus II プロジェクトが全体的なデザインの一部しか表してい ない場合は 最終的なデザインで使用するリソースを手動で入力する必 要があります したがって Power Estimation ファイル情報をインポー トした後に このスプレッドシートを編集して他のデバイス リソース を追加することができます 11 4 暫定サポート Altera Corporation 2008 年 5 月

5 Quartus II Early Power Estimator PowerPlay Early Power Estimator File Generator Compilation Power Estimation Compilation Report PowerPlay Early Power Estimator File Generator Summary Settings Generated Files Confidence Metric Details Signal Activities PowerPlay Early Power Estimator File Generator PowerPlay Power Analyzer Compilation Report 11 1 PowerPlay Early Power Estimator PowerPlay Power Analyzer PowerPlay Early Power Estimator PowerPlay Power Analyzer / PowerPlay Early Power Estimator PowerPlay Power Analyzer /Quartus II Quartus II RTL Altera Corporation

6 Quartus II Volume PowerPlay Early Power Estimator PowerPlay Power Analyzer / PowerPlay Early Power Estimator PowerPlay Power Analyzer (1) (2) I/O (2) 11 1 : (1) Early Power Estimator (2) Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone III Cyclone II HardCopy II MAX II Power Analyzer PCB Power Analyzer Power Analyzer Altera Corporation

7 2 2 I/O FPGA FPGA PowerPlay Early Power Estimator Quartus II Power Analyzer Power Analyzer Cyclone II Stratix II 1 MAX Stratix Cyclone MAX II Altera Corporation

8 Quartus II Volume 3 LFPM (linear feet per minute) θ CA θ CA T Junction = T Ambient + P Thermal θ JA 11 8 Altera Corporation

9 θ JA /W θ JA θ JC θ CA θ JB /W θ JA I/O I/O RAM LE DSP DSP Stratix II 1/4 Quartus II Altera Corporation

10 Quartus II ハンドブック Volume 3 フトウェアはクロックの未使用ブランチを自動的にディセーブルするた め クロック ネットワークでドライブされるロジック アレイ ブロッ ク LAB の位置が影響することもあります 信号アクティビティ 消費電力の見積もりに影響する最後の重要な要因は デザイン内での各 信号の動作です 2 つの重要な統計情報として トグル レートと静的 確率があります 信号のトグル レートとは 単位時間で信号が値を変化させる平均回数 です トグル レートの単位は 1 秒あたりの遷移で 遷移は 1 から 0 ま たは 0 から 1 に変化します 信号の静的確率とは 解析するデバイスの動作中に信号がロジック 1 に なっている時間の割合です 静的確率の範囲は 0 常にグランド か ら 1 常にロジック High までです ロジックと配線のために容量性負荷がより頻繁に充電されるため ダイ ナミック消費電力はトグル レートに比例して増加します Quartus II モデルでは 完全なレール間のスイッチングを想定しています 特に回 路の出力 I/O ピンでトグル レートが高いと 回路はダウンストリー ム キャパシタンスが完全に充電される前に遷移することがあります その結果 Quartus II PowerPlay Power Analyzer による消費電力は少し 控え目な予測になります 場合によっては 配線とロジック両方のスタティック消費電力は それ らの入力信号の静的確率の影響を受けることがあります この影響は状 態に依存するリークが原因であり プロセス形状が小さいほど大きく影 響します この影響が消費電力の見積もりに重要と考えられる場合 Quartus II ソフトウェアはこの影響を 90 nm またはそれ以下 のデバ イスでモデル化します スタティック消費電力は 出力 I/O 規格が終端 抵抗をドライブするときの I/O ピンにおけるロジック 1 または 0 の静的 確率に応じても変動します 電力解析から正確な結果を得るには 解析に使用する信号アク ティビティが デザインの実際の動作を表す必要があります 不正確な信号トグル レート データは 消費電力の見積もり に誤差が生じる最大の要因です 暫定サポート Altera Corporation 2008 年 5 月

11 PowerPlay Power Analyzer PowerPlay Power Analyzer PowerPlay Power Analyzer 11 5 Power Analyzer PowerPlay Power Analyzer User Design (After Fitting) Operating Conditions (1) PowerPlay Power Analyzer Signal Activities Power Analysis Report 11 5 : (1) Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone III Cyclone II HardCopy II MAX II PowerPlay Power Analyzer Power Analyzer I/O I/O I/O Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone III Cyclone II HardCopy II MAX II Quartus II Settings Altera Corporation

12 Quartus II Volume 3 Device power characteristics Power Analyzer Selectable Core Voltage Stratix III Core Supply Voltage LAB LAB MLAB DSP Environmental conditions and junction temperature Power Analyzer Q PowerPlay Power Analyzer PowerPlay Power Analyzer Board Thermal Modeling Power Analyzer θ JB Typical Custom Typical θ JB Custom θ JB PowerPlay Power Analyzer θ JB Board thermal model None Altera Corporation

13 PowerPlay Power Analyzer Board thermal model Auto compute junction temperature θ JA Power Analyzer PowerPlay Power Analyzer 11 6 Altera Corporation

14 Quartus II Volume Start Node or entity assignment? No Simulation data? No Is primary input? No Vectorless supported and enabled? (1) Yes Use vectorless estimation Yes Yes Yes No Use node or entity assignment Use simulation data Use default assignment 11 6 : (1) Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone II HardCopy II MAX II Power Analyzer Power Analyzer Quartus II Simulator ModelSim VHDL Active HDL ModelSim Verilog HDL ModelSim-Altera VHDL ModelSim-Altera Verilog NC-Verilog NC-VHDL VCS Signal Activity.saf Value Change Dump File.vcd Quartus II.saf.vcd Power Analyzer Altera Corporation

15 モジュラー デザイン フローでのシミュレーション ファイルの使用 サードパーティ シミュレータの場合は Quartus II EDA Tool Settings for Simulation を使用して Generate Value Change Dump ファイル ス クリプトを指定します これらのスクリプトは サードパーティ シミュ レータにシミュレーションされた波形をエンコードする.vcdファイルを 生成するよう指示します Quartus II Power Analyzer は このファイル を直接読み込んで 各信号のトグル レートと静的確率データを得ます 上記以外のサードパーティ EDA シミュレータは.vcd ファイルを生成 することができ Power Analyzer がこのファイルを使用できます これ らのシミュレータでは 適切な.vcd ファイルを生成するシミュレーショ ン スクリプトを手動で作成する必要があります 電力解析のために作成された.saf または.vcd ファイルを使用 し Settings ダイアログボックスの Fitter Settings ページにあ る PowerPlay power optimization リストの適切な設定を利用 して フィッティング中の電力の設計を最適化することができ ます 電力の最適化について詳しくは Quartus II ハンドブック Volume 2 の 消費電力の最適化 の章を参照してください モジュラー デザイン フローでの シミュレー ション ファイルの 使用 Altera Corporation 2008 年 5 月 一般的なデザイン方法は モジュラー デザインまたは階層デザインを 作成することです この方法では 各デザイン エンティティを個別に 作成し そのエンティティをより上位レベルのエンティティでインスタ ンス化して 完全なデザインを形成します シミュレーションは 完成 したデザインまたは各モジュラー デザインに対して検証のために実行 されます Quartus II PowerPlay Power Analyzer Tool は これらのシ ミュレーション ファイルから生成された信号アクティビティを読み込 むときに モジュラー デザイン フローをサポートします 図 11 7 を 参照 暫定サポート

16 Quartus II Volume Parameter Input Video Processing Column Driver system.vcd video_gizmo.saf output_driver.vcd Memory Interface Video Source Interface Timing Control video_input.vcd.vcd.saf Power Analyzer PowerPlay Power Analyzer Tool.saf 1.saf.saf.saf 11 8 Top 3 8b/10b 8b/10b Top.saf PowerPlay Power Analyzer Tool Altera Corporation

17 11 8. Top 8b10b_dec:decode1 8b10b_rxerr:err1 8b10b_dec:decode2 mux:mux1 8b10b_dec:decode3 8b10b_enc:encode1 Top.vcd Quartus II Simulator.saf.vcd.vcd.saf Top Power Analyzer decode[1-3] err1 mux1 encode1.vcd.saf Top.saf Power Analyzer 8b10b_dec 8b10b_rxerr 8b10b_enc.vcd.saf 8b10b_dec.vcd 8b10b_enc.vcd 8b10b_rxerr.vcd mux.saf / 8b10b_dec.vcd 8b10b_dec.vcd Top 8b10b_dec:decode1 Top 8b10b_dec:decode2 Altera Corporation

18 Quartus II Volume / 8b10b_dec.vcd 8b10b_rxerr.vcd 8b10b_enc.vcd mux.saf Top 8b10b_dec:decode3 Top 8b10b_rxerr:err1 Top 8b10b_enc:encode1 Top mux:mux1 mux1 Top vcd.saf.vcd.saf normal.saf corner1.vcd corner2.vcd Top Top Top err_out normal.saf 0 / corner1.vcd 50 / corner2.vcd 70 / 40 / Altera Corporation

19 Top 8b10b_rxerr full_design.vcd error_cases.vcd Top Top 8b10b_rxerr:err1 error_cases.vcd.saf full_design.vcd error_cases.vcd 10,000 2,000 10,000 80% 20%.vcd Limit VCD Period.saf.vcd Quartus II Quartus II Altera Corporation

20 Quartus II ハンドブック Volume 3 例えば ファイル 8b10b_enc.vcd 8b10b_enc という名前の別のプロジェ クトで生成され 8b10b エンコーダをシミュレーションするファイル があり この.vcd ファイルを Top という別のプロジェクトにインポー トする場合.vcd ファイルが Top プロジェクトの 8b10b_enc モジュー ルに適用されると 名前の不一致が生じることがあります これは 8b10b_enc.vcd ファイル内のすべての組み合わせノードに Top プロジェ クトで異なる名前が付けられることがあるからです 名前の不一致を回避するには レジスタ転送レベル RTL シミュレー ション データのみを使用するか この場合 通常はレジスタ名は変更 されない ノード名がゲート レベル シミュレーションとともに保持 されるインクリメンタル コンパイル フローを使用します 最高の精度を達成するために アルテラではインクリメンタル コンパイル フローを使用してデザインのノード名を保持する ことを推奨しています インクリメンタル コンパイル フローについて詳しくは Quartus II ハンドブック Volume 1 の 階層およびチーム ベース デザインのた めの Quartus II インクリメンタル コンパイル の章を参照してくださ い グリッチ フィルタリング Power Analyzer は グリッチを 2 つの信号が遷移する間隔が接近し過ぎ て ロジックや配線回路が応答できないほどの速さで発生するパルス グ リ ッ チ と し て 定 義 し て い ま す 伝 送 遅 延 モ デ ル シ ミ ュ レ ー タ Quartus II シミュレータのデフォルト モード の出力は 一般にはい くつかの信号のグリッチを含んでいます デバイスのロジックおよび配 線構造は デバイス ファミリに応じて 数十から数百ピコ秒のグリッ チをフィルタするローパス フィルタを形成します サードパーティ シミュレータによっては デフォルトとして伝送遅延 モデルとは異なるシミュレータ モデルを使用しています モデルが異 なると 信号アクティビティの見積もりと消費電力の見積もりに違いが 生じます ModelSim デフォルト モデルである慣性遅延モデルは 伝 送遅延モデルよりも多くのグリッチをフィルタするため このモデルを 使用すると 通常は消費電力の見積もりが低くなります アルテラでは サードパーティ シミュレータで Quartus II グ リッチ フィルタリング サポートを使用する場合は 伝送シ ミュレーション モデルを使用することを推奨しています 慣 性シミュレーション モデルを使用する場合 シミュレーショ ン グリッチ フィルタリングの効果はほとんどありません 暫定サポート Altera Corporation 2008 年 5 月

21 Quartus II Help LE XOR.vcd Power Analyzer 2 1 Quartus II 1. Assignments EDA Tool Settings Settings 2. Category Simulation Simulation 3. Tool Name 4. Enable glitch filtering Quartus II Quartus II Quartus II SAF VCD Altera Corporation

22 Quartus II ハンドブック Volume 3 第 2 レベルのグリッチ フィルタリングは サードパーティ シミュレー タまたは Quartus II シミュレータで生成された.vcd ファイルを Power Analyzer が読み込む間に発生します このレベルのグリッチ フィルタ リングをイネーブルするには 以下の手順を実行します 1. Assignments メニューの Settings をクリックします Settings ダイ アログ ボックスが表示されます 2. Category リストの PowerPlay Power Analyzer Settings を選択しま す PowerPlay Power Analyzer Settings ページが表示されます 3. Input File(s) で Perform glitch filtering on VCD files オプション をオンにします アルテラでは 両方の形式のグリッチ フィルタリングを使用すること を推奨しています.vcd ファイル リーダーは シミュレーション時に実行されたフィルタ リングに対する補足的フィルタリングを実行しますが 通常は効果的で はありません.vcd ファイル リーダーは ロジック ブロックでのグ リッチを取り除くことができますが 特定のグリッチによるダウンスト リーム ロジックおよび配線への影響を判断する方法はなく グリッチ の影響を完全に排除できない場合があります シミュレーション時にグ リッチをフィルタすると ダウンストリーム配線およびロジックの切り 換えを自動的に回避できます デザイン検証のためにシミュレーションを実行する場合 Quartus PowerPlay Power Analyzerへの入力を生成するためではなく アルテラではグリッチ フィルタリングをオフにしておくこと を推奨しています これにより 機能的な観点から 最も厳密 で 慎 重 な シ ミ ュ レ ー シ ョ ン が 生 成 さ れ ま す Quartus II PowerPlay Power Analyzer への入力を生成するためにシミュ レーションを実行する場合 アルテラではグリッチ フィルタ リングをオンにして 最も正確な消費電力の見積もりを生成す ることを推奨しています ノードおよびエンティティのアサインメント 特定のトグル レートと静的確率をデザイン内の個々のノードおよびエ ンティティに割り当てることができます これらのアサインメントは最 も優先度が高いため 他のあらゆる信号アクティビティ ソースからの データよりも優先されます 暫定サポート Altera Corporation 2008 年 5 月

23 モジュラー デザイン フローでのシミュレーション ファイルの使用 Assignment Editor またはツール コマンド言語 Tcl コマンドを使用 して Power Toggle Rate および Power Static Probability アサインメン トを作成します Power Toggle Rate アサインメントを使用してトラン ザクションでの絶対トグル レートとして電力トグル レートを指定す るか Power Toggle Rate Percentage アサインメントを使用して 階層 レベルで作成されたより具体的なアサインメントに対する割り当て済み ノードのクロック ドメインを基準とするトグル レートを指定できま す Power Toggle Rate Percentage アサインメントが使用され 所 定のノードにクロック ドメインが存在しない場合は 警告が 発行されアサインメントは無視されます f Quartus IIソフトウェアでのAssignment Editorの使用方法について詳し くは Quartus II ハンドブック Volume 2 の Assignment Editor の 章を参照してください この方法は ユーザーが解析中の信号またはエンティティについて具体 的な知識を持つ特殊なケースの信号に対して使用するのが適切です 例 えば 100 MHz データ バスまたはメモリ出力が 本質的にランダムな 時間と相関関係がない データを生成する場合 静的確率として 0.5 トグル レートとして 5,000 万遷移 / 秒を直接入力できます 双方向 I/O ピンは特別に扱われます あるピンの入力ポートと出力パッ ドの組み合わせは 同じ名前を共有します ただし これらのポートが 同じ信号アクティビティを共有するとは限りません 信号アクティビ ティ アサインメントを読み込むために Power Analyzer は双方向信号 が出力としてコンフィギュレーションされている場合は <node_name~output> という名前を作成し 信号が入力としてコン フィギュレーションされている場合は <node_name~result> という名 前を作成します 例えば デザインに MYPIN という名前の双方向ピンが ある場合 組み合わせ入力に対するアサインメントでは MYPIN~result と い う 名 前 が 使 用 さ れ 出 力 パ ッ ド に 対 す る ア サ イ ン メ ン ト で は MYPIN~output という名前が使用されます Assignment Editor でロジック アサインメントを作成すると きに Node Finder に MYPIN~result および MYPIN~output のノード名は存在しません したがって ロジック アサイン メントを作成するには 2 つの識別するためのノード名を手動 で入力して 双方向ピンの入力および出力ポートに対する具体 的なアサインメントを作成しなければなりません Altera Corporation 2008 年 5 月 暫定サポート

24 Quartus II ハンドブック Volume 3 クロック ノードに対するタイミング アサインメント クロック ノードでは シミュレーション データもユーザーが入力し た信号アクティビティ データも使用できない場合 Power Analyzer は タイミング要件を使用してトグル レートを得ます fmax 要件は 1 秒あたりのフル サイクルを指定しますが 各サ イクルは立ち上がり遷移と立ち下がり遷移を表します 例えば クロック fmax の要件が 100 MHz の場合 これは 2 億遷移 / 秒 に相当します デフォルトのトグル レートのアサインメント デザインのプライマリ入力と他のすべてのノードに対して デフォルト のトグル レートを指定できます デフォルトのトグル レートは 信 号アクティビティ データを指定する方法がない場合に使用されます トグル レートは 絶対項 1 秒あたりの遷移数 で指定することも 各 特定ノードで有効なクロック レートの分数として指定することもでき ます あるクロックのトグル レートは そのクロックのタイミング設 定から得られます 例えば fmax の制約が 100 MHz デフォルトの相 対トグル レートが 20% でクロックが指定された場合 このクロック ドメイン遷移でのノードのうちクロック周期の 20% のノード つまり 1 秒あたり 2000 万遷移が発生します 場合によっては 当該ノードのク ロック ドメインがないか曖昧であるため Power Analyzer でノードの クロック ドメインを判断できないことがあります このようなケース では Power Analyzer は代わりにトグル レートとしてゼロを使用して 報告します ベクタなしの見積もり 一部のデバイス ファミリの場合 Power Analyzer は シミュレーショ ンやユーザーが入力した信号アクティビティ データがなくても ノー ドにおける信号アクティビティの見積もりを自動的に得ます ベクタな しの見積もりは Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone III Cyclone II HardCopy II および MAX II デバイス ファ ミリで デフォルトで実行されてイネーブルされます ベクタなしの見 積もりでは ノードに供給するすべてのノードの信号アクティビティと ノードで実装される実際のロジック機能に基づいて ノードの信号アク ティビティを静的に見積もります ベクタなしの見積もりは PowerPlay Power Analyzer の Settings ダイアログ ボックスでディセーブルにで きます ベクタなしの見積もりは イネーブルにされている場合には デフォルトのトグル レートよりも優先されます ベクタなしの見積も りは クロック アサインメントよりも優先されません 暫定サポート Altera Corporation 2008 年 5 月

25 PowerPlay Power Analyzer の使用 ベクタなしの見積もりでは プライマリ入力の信号アクティビ ティを得ることはできません ベクタなしの見積もりは 一般 に組み合わせノードに対しては正確ですが 登録されたノード に対しては正確ではありません したがって 良好な精度を達 成するには 少なくとも登録されたノードと I/O ノードのシ ミュレーション データが必要です PowerPlay Power Analyzer の 使用 PowerPlay Power Analyzer を使用するすべてのフローで 最初にデザイ ンを合成し 次にそのデザインをターゲット デバイスにフィッティン グします デザインのすべてのクロックにタイミング アサインメント を提供するか シミュレーション ベースのフローを使用してアクティ ビティ データを生成する必要があります 各デバイスの入力または出 力で使用する I/O 規格と 各出力の容量性負荷をデザインで指定する必 要があります 一般的な解析フロー この項で示す解析フローは PowerPlay Power Analyzer で使用できま す ただし ベクタなしアクティビティ見積もりは一部のデバイス ファ ミリでのみ使用できます 完全なフィッティング後のネットリスト タイミング シミュ レーションからの信号アクティビティ 供給される入力ベクタが標準的なデザイン動作を表している場合 すべ てのノード アクティビティが実際のデザイン動作を反映するため こ のフローは最も精度が高くなります シミュレーションでグリッチを フィルタした場合 結果が良くなります この方法の欠点は シミュレー ション時間が長くなる可能性があることです ベクタなしの見積もりで補足される RTL 機能 シミュレー ションからの信号アクティビティ このフローでは シミュレーションはデザイン内のすべてのピンおよび レジスタに対するトグル レートと静的確率を提供します ベクタなし の見積もりは ピンとレジスタ間のすべての組み合わせノードの値を埋 めます 適切なピンおよびレジスタ データが供給される場合 ベクタ なしの見積もりは正確なので この方法を使用すると良好な結果が得ら れます このフローは通常 サードパーティ RTL Simulator のユーザー にコンパイル時間のメリットを提供します Altera Corporation 2008 年 5 月 暫定サポート

26 Quartus II ハンドブック Volume 3 RTL シミュレーションでは 合成中に一部のレジスタ名が失わ れることがあるため フィッティング後のネットリスト内のす べてのレジスタに信号アクティビティが供給されない場合があ ります 例えば 合成によってステート マシンとカウンタが 自動的に変換され これらの構造内のレジスタ名が変更される ことがあります ベクタなしの見積もりからの信号アクティビティ ユーザーが供 給した入力ピン アクティビティ このオプションを使用すると レジスタのベクタなしの見積もりが全体 として正確でないため 精度が低くなります ユーザ デフォルトのみからの信号アクティビティ このオプションを使用すると 最も精度が低くなります Quartus II シミュレータを使用した SAF または VCD ファ イルの生成 Quartus II シミュレータを使用したタイミングまたは機能シミュレー ションの実行中.saf または.vcd ファイルを生成できます これらの ファイルには ベクタ波形ファイル.vwf またはベクタ ファイル.vec に入力されるシミュレーション ベクタに基づいて 接続される 各出力信号のトグル レートと静的確率が保存されます.saf または.vcd ファイルを PowerPlay Power Analyzer への入力として使用して デザ インの消費電力を見積もることができます 結果の精度をより向上させるために アルテラでは PowerPlay Power Analyzer への入力として Quartus II シミュレータから作 成された.saf ファイルを使用することをお勧めします デザインに対する.saf または.vcd ファイルを作成するには 以下のス テップを実行します 暫定サポート 1. Assignments メニューの Settings をクリックします Settings ダイ アログ ボックスが表示されます 2. Category リストの Simulator Settings を選択します Simulator Settings ページが表示されます 図 11 9 Altera Corporation 2008 年 5 月

27 PowerPlay Power Analyzer Simulator Settings 3. Simulation mode Timing Functional More Settings More Simulator Settings 5. Glitch filtering options Always 6. Category Simulator Settings Simulation Output Files Altera Corporation

28 Quartus II Volume Settings Simulator Output Files 7. Generate Signal Activity File.saf Quartus II.saf Quartus II Volume 3 Quartus II Altera Corporation

29 PowerPlay Power Analyzer の使用 Quartus シミュレータから.vcd ファイルを生成するときは 必 ず all nodes を入力ベクタ波形ファイルに追加してください ベ クタ ファイルに追加されたノードのみ Quartus で生成され る.vcd ファイルに出力されます これは.saf ファイルの生成 時には当てはまりません Quartus II シミュレータは スティ ミュラス ファイルにシミュレーションのための入力ベクタし か含まれていない場合でも デザインのすべての内部ノードを 含めて.saf ファイルを作成します 8. オプション Signal Activity File Options をクリックします Signal Activity File Options ダイアログ ボックスが表示されます 図 図 Signal Activity File Options ダイアログ ボックス 9. オプション Limit signal activity period オプションをオンにし 信号アクティビティの計算に使用するシミュレーション期間を指定 します 消費電力の見積もりは 全シミュレーション時間で実行することも その一部でのみ実行することもできます したがって テストベン チを修正することなく シミュレーション全体のさまざまなポイン トで消費電力を調べることができます また この機能は デザイ ンの状態を初期化するために複数のクロック サイクルが必要であ るが 初期化フェーズ中ではなく デザインの通常動作中にのみ信 号アクティビティを測定する場合も便利です Limit signal activity period オプションをオンにすると Signal Activity File Options ダ イアログ ボックスに開始時刻と終了時刻を指定できます この時 間中にのみシミュレーション情報が使用され トグル レートと静 的確率が計算されます 時間間隔が指定されていない場合 シミュ レーション全体が信号アクティビティ データの計算に使用されま す Altera Corporation 2008 年 5 月 暫定サポート

30 Quartus II Volume saf Quartus II Quartus II Help VCD Model Technology ModelSim EDA.vcd PowerPlay Power Analyzer Quartus II.vcd vcd 1. Assignments EDA Tool Settings Settings 2. Category Simulation Simulation Altera Corporation

31 PowerPlay Power Analyzer の使用 図 Settings ダイアログ ボックスの Simulation ページ 3. Tool name リストで 適切な EDA シミュレーション ツールを選 択します 4. Format for output netlist リストで VHDL または Verilog を選択 します 5. Generate Value Change Dump (VCD) file script をオンにします これによって Map illegal HDL character および Enable glitch filtering オプションがオンになります 6. オプション Map illegal HDL character を使用すると すべての 信号が正当な名前を持ち 信号のトグル レートを後で PowerPlay Power Analyzer で使用できるようになります Altera Corporation 2008 年 5 月 暫定サポート

32 Quartus II ハンドブック Volume 3 7. オプション Enable glitch filtering をオンにすると シミュレー ション用の EDA ネットリストを生成するときに グリッチ フィ ルタリング ロジックが出力になります このオプションは.vcd ファイル スクリプトを生成するかどうかに関係なく常に使用でき ます グリッチ フィルタリングについて詳しくは ページ の グリッチ フィルタリング を参照してください ModelSim を使用してシミュレーションを実行すると vsim コ マンドに与えられる +nospecify オプションで ModelSim の specify パス遅延およびタイミング チェックがディセーブル されます Simulation ページのグリッチ フィルタリングをイ ネーブルすることにより シミュレーション モデルにはspecify パス遅延が含まれます したがって グリッチ フィルタリン グがイネーブルされ +nospecify オプションが指定されている 場合 ModelSim はデザインをシミュレーションできません アルテラでは 消費電力見積もりの正確なシミュレーションが 得られるよう ModelSim vsim コマンドから +nospecify オプ ションを取り除くことを推奨しています 8. Script Settings をクリックします 図 に示すとおり Script Settings ダイアログ ボックスが表示されます 図 Script Settings ダイアログ ボックス.vcd ファイルに出力すべき信号を選択します All signals を選択す ると 生成されたスクリプトによってサードパーティ シミュレー タは 接続されているすべての出力信号を.vcd ファイルに書き込み ます All signals except combinational lcell outputs を選択すると 生成されたスクリプトはサードパーティ シミュレータに ロジッ ク セルの組み合わせ出力を除く 接続されているすべての出力信 号を.vcd ファイルに書き込むよう指示します 暫定サポート Altera Corporation 2008 年 5 月

33 PowerPlay Power Analyzer の使用 ファイル サイズが巨大になる可能性があるため ファイルに 全部の出力信号を書き込みたくない場合もあります ファイル サイズは 監視中の出力信号数と遷移回数に依存します 9. OK をクリックします 10. Design instance name ボックスにテスト ベンチの名前を入力しま す 11. Quartus II ソフトウェアでデザインをコンパイルし 必要な EDA ネットリストと.vcd ファイルを生成するようサードパーティ シ ミュレータに指示するスクリプトを生成します NativeLink の用途について詳しくは Quartus II ハンドブック Volume 3 の Section I. Simulation を参照してください 12. サードパーティ EDA シミュレーション ツールでシミュレーショ ンを実行します 生成されたスクリプトをシミュレーション ツー ルで呼び出してから シミュレーションを実行します シミュレー ション ツールは.vcd ファイルを生成して プロジェクト ディレ クトリに置きます ModelSim ソフトウェアからの VCD ファイルの生成 次の例は ModelSim ソフトウェアで.vcd ファイルを正常に作成するた めのステップごとの操作方法を示しています Altera Corporation 2008 年 5 月 1. Quartus II ソフトウェアで Assignments メニューの Settings をク リックします 2. Settings ダイアログ ボックスの Simulator Settings ページで Tool Name リ ス ト に おいて適切な ModelSim selection を選択して Generate Value Change Dump File Script オプションをオンにしま す 3..vcd ファイルを生成するには フル コンパイルを実行します 4. ModelSim ソフトウェアでシミュレーションに必要なファイルをコ ンパイルします 5. ツール メニューの Start Simulation をクリックしてデザインを ロードするか vsim コマンドを使用します 暫定サポート

34 Quartus II Volume Quartus II.vcd source <design>_dump_all_vcd_nodes.tcl 7. run 2000ns un -all 8. quit -sim 9. ModelSim ModelSim.vcd.vcd EDA.vcd Quartus II Volume 3 Section I. Simulation Quartus II GUI PowerPlay Power Analyzer Quartus II GUI PowerPlay Power Analyzer 1. Assignments Settings Settings Category PowerPlay Power Analyzer Settings Altera Corporation

35 PowerPlay Power Analyzer PowerPlay Power Analyzer Settings 3. PowerPlay Power Analyzer.saf.vcd Use input file(s) to initialize toggle rates and static probabilities during power analysis Edit Remove 4. Add Add Power Input File Altera Corporation

36 Quartus II Volume Add Power Input File 5. File name.saf.vcd 6. Entity Power Input Select Hierarchy Entity Altera Corporation

37 PowerPlay Power Analyzer Select Hierarchy 7. Input File Type.vcd.saf 8. Limit VCD period VCD file Quartus II SAF VCD 9 9. OK 10. Add Power Input File OK 11. Perform glitch filtering on VCD files Write out signal activities used during power analysis Output file name Altera Corporation

38 Quartus II Volume 3.vcd PowerPlay Power Analyzer.vcd.saf 13. Write signal activities to report file 14. Write power dissipation by block to report file PowerPlay Power Analyzer Assignment Editor Power Toggle Rate Power Toggle Rate Percentage Power Static Probability Assignment Editor (1) (2) : (1) Assignment Editor.saf.vcd (2) Tcl Quartus II Assignment Editor Quartus II Volume 2 Assignment Editor Quartus II Volume 2 Tcl Altera Corporation

39 PowerPlay Power Analyzer 16. Default toggle rate used for input I/O signals I/O 12.5% I/O Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone III Cyclone II HardCopy II MAX II Use default value Use vectorless estimation Use default value Category Operating Settings and Conditions Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone III Cyclone II HardCopy II MAX II Altera Corporation

40 Quartus II Volume Device power characteristics Typical Maximum Typical 20. Category Operating Settings and Conditions Voltage Voltage 21. Core supply voltage 22. Category Operating Settings and Conditions Temperature Temperature Altera Corporation

41 PowerPlay Power Analyzer Temperature Settings 23. Junction temperature range Low temperature High temperature 24. Specify junction temperature Auto compute junction temperature using cooling solution 25. Board thermal modeling Board thermal model Board temperature Auto compute junction temperature using cooling solution Altera Corporation

42 Quartus II ハンドブック Volume OK をクリックして Settings ダイアログ ボックスを閉じます 27. Processing メニューの PowerPlay Power Analyzer Tool をクリック します PowerPlay Power Analyzer Tool ダイアログ ボックスが 表示されます 図 図 PowerPlay Power Analyzer Tool ダイアログ ボックス 28. Start をクリックして PowerPlay Power Analyzer を実行します すべての設定が正しいことを確認します このダイアログ ボックスの一部の設定を変更することも できます 例えば Add Power Input File(s) ボタンをク リックして 入力ファイルを変更することができます 29. PowerPlay Power Analyzer が正常に動作すると メッセージが表示 されます 図 暫定サポート Altera Corporation 2008 年 5 月

43 PowerPlay Power Analyzer の使用 図 PowerPlay Power Analyzer メッセージ 30. OK をクリックします 31. PowerPlay Power Analyzer Tool ダイアログ ボックスで Report をクリックして PowerPlay Power Analyzer Summary ウィンドウを 開きます また Compilation Report の PowerPlay Power Analyzer Summary ページで要約を表示することもできます 図 図 PowerPlay Power Analyzer Summary Altera Corporation 2008 年 5 月 暫定サポート

44 Quartus II Volume 3 PowerPlay Power Analyzer Compilation Report Compilation Report PowerPlay Power Analyzer Summary I/O I/O V CCIO V CCINT I/O PowerPlay Power Analyzer Confidence Metric Settings PowerPlay Power Analyzer Simulation Files Read.vcd.saf Operating Conditions Used Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone III Cyclone II HardCopy II MAX II Thermal Power Dissipated by Block ATOM Altera Corporation

45 PowerPlay Power Analyzer Thermal Power Dissipation by Block Type (Device Resource Type) FPGA Thermal Power Dissipation by Hierarchy Core Dynamic Thermal Power Dissipation by Clock Domain unspecified 0 MHz Current Drawn from Voltage Supplies VCCIO I/O Arria GX Stratix IV Stratix III Stratix II Stratix II GX Cyclone III Cyclone II HardCopy II MAX II Summary I/O V CCIO I/O I/O IOE V CCINT V CCIO Altera Corporation

46 Quartus II ハンドブック Volume 3 Confidence Metric Details 信頼評価指数は 消費電力の見積もりに使用した信号トグル レート データの品質を示します 信号トグル レート データのソースが デ バイス動作時の実際の信号トグル レートを正確に予測できないソース と考えられる場合 信頼評価指数は低くなります トグル レート デー タのソースが シミュレーション 特定の信号に対するユーザー入力ア サインメント またはエンティティの場合 トグル レート データは 信頼できるものとみなされます トグル レート データのソースが デフォルトのトグル レート 例えば クロック周期の 12.5% または ベクタなしの見積もりの場合 トグル レート データは比較的不正確 とみなされます このセクションには トグル レート データの総合 信頼性レーティングが低いものから高いものの順に示されます また このセクションには シミュレーション ユーザー入力 ベクタなしの 見積もり またはデフォルトのトグル レートの見積もりのそれぞれか らトグル レートを取得したピン数 レジスタ数 および組み合わせノー ド数も要約されます この詳細情報により 信頼評価指数を上げる方法 を理解することで トグル レート データにおける独自の信頼性を判 断できます Signal Activities このセクションには ファンアウトとピンを持つすべての信号の電力解 析で想定したトグル レートと静的確率が表示されます トグル レー トと静的確率のデータ ソースに加えて 信号タイプ Pin Registered または Combinational も表示されます デフォルトでは すべての信 号アクティビティが報告されます これをオフにするには PowerPlay Power Analyzer Settings ページで Write signal activities to report file オプションをオフにします 大規模なデザインでは信号数が多くなるため このオプション をオフにすることを推奨します Assignment Editor を使用す ると Power Report Signal Activities アサインメントのノード に値を割り当てることによって 個々のノードまたはエンティ ティのアクティビティを報告するよう指定できます Messages このセクションには 解析中に Quartus II ソフトウェアが生成したメッ セージが表示されます 暫定サポート Altera Corporation 2008 年 5 月

47 PowerPlay Power Analyzer Specific Rules for Reporting Stratix GX XGM II GXB XGM II 0 Tcl Quartus II Command-Line Tcl API Help Help quartus_sh --qhelp Scripting Reference Manual PDF Tcl Quartus II Volume 2 Tcl Quartus II Quartus II Settings File Reference Manual Quartus II Volume 2 Command- Line Scripting PowerPlay Power Analyzer PowerPlay Power Analyzer quartus_pow quartus_pow quartus_pow --help quartus_sh --qhelp r sample.qpf quartus_pow PowerPlay Power Analyzer PowerPlay Early Power Estimator quartus_pow sample --output_epe=sample.csv r Altera Corporation

48 Quartus II Volume 3 PowerPlay Power Analyzer PowerPlay Early Power Estimator quartus_pow sample --output_epe=sample.csv --estimate_power=off r PowerPlay Power Analyzer.saf sample.saf quartus_pow sample --input_saf=sample.saf r PowerPlay Power Analyzer 2.vcd sample1.vcd sample2.vcd.vcd I/O 10,000 / quartus_pow sample --input_vcd=sample1.vcd \ --input_vcd=sample2.vcd --vcd_filter_glitches=on \ --default_input_io_toggle_rate=10000transitions/s r PowerPlay Power Analyzer I/O 60% 20% quartus_pow sample --no_input_file --default_input_io_toggle_rate=60% \ --use_vectorless_estimation=off --default_toggle_rate=20% r PowerPlay Power Analyzer Settings Operating Conditions Quartus II GUI <revision name>.pow.rpt quartus_pow PowerPlay Power Analyzer Compilation Report Altera Corporation

49 PowerPlay PowerPlay Early Power Estimator Quartus II PowerPlay Power Analyzer Quartus II PowerPlay Power Analyzer Assignment Editor Quartus II Volume 2 Quartus II Volume 2 PowerPlay Early Power Estimators (EPE) and Power Analyzer Quartus II Volume 2 Quartus II Quartus II Volume 1 Quartus II Settings File Reference Manual Quartus II Simulator Quartus II Volume 3 I. Quartus II Volume 3 Tcl Scripting Quartus II Volume 2 Altera Corporation

50 Quartus II Volume v v v v v v v v v Quartus II 11 6 v PowerPlay Power Analyzer Compilation Report Current Drawn from Voltage Supplies 10 4, 10 9, 10 10, 10 11, and Quartus II Simulator SAF VCD VCD Quartus IISAF VCD Document Revision History Cyclone III 10-2 Quartus II v7.2 Arria GX Quartus II SAF VCD GUI Stratix III PowerPlay Power Analysis Quartus II v6.0.0 EPE Power Analyzer Quartus II v5.1 Quartus II v Altera Corporation

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Quartus II - Chip Planner クイック・ガイド

Quartus II - Chip Planner クイック・ガイド - Quartus II - Chip Planner クイック ガイド ver.9.0 2009 年 8 月 1. はじめに この資料は Quartus II の Chip Planner の使用方法を紹介しています Chip Planner は 従来のフロアプランと Chip Editor が統合された機能です この機能により Quartus II 上でアルテラ デバイスの内部構造の表示 内部タイミングの調査

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

Quartus IIネットリスト・ビューワによるデザインの解析

Quartus IIネットリスト・ビューワによるデザインの解析 12. Quartus II QII51013-6.0.0 FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II 12 46 State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - ピン・アサインの方法 ALTIMA Corp. Quartus II はじめてガイドピン アサインの方法 rev.1 ver.10 2011 年 3 月 ELSENA,Inc. Quartus II はじめてガイド ピン アサインの方法 rev.1 目次 1. はじめに... 3 2. 事前作業... 3 2-1. デバイスの選択... 3 2-2. データベースの構築... 4 3. ユーザ I/O ピンのアサイン方法...

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を Agilent ADS で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B003_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library に含まれるモデルは標準的な

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイルツール(Excel形式)の利用方法

ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイルツール(Excel形式)の利用方法 ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイル作成ツール mknetdevconf-tool-0300-1 本ファイルでは ServerView Resource Orchestrator V3.0 で使用する ネットワーク構成情報ファイル作成ツール の動作条件 使用方法 およびその他の重要な情報について説明しています 本ツールを使用する前に必ず最後まで目を通すようお願いします

More information

Consuming a simple Web Service

Consuming a simple Web Service Consume a Simple Web Service シンプルな Web サービスを利用する 目次 1 Introduction はじめに... 2 2 Importing a WSDL WSDL をインポートする... 3 3 Creating Logic to Call the Web Service Web サービスを呼び出すロジックを作成する... 5 4 Related Content

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

Sharing the Development Database

Sharing the Development Database 開発データベースを共有する 目次 1 Prerequisites 準備... 2 2 Type of database データベースのタイプ... 2 3 Select the preferred database 希望のデータベースを選択する... 2 4 Start the database viewer データベース ビューワーを起動する... 3 5 Execute queries クエリを実行する...

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

TimeCardView と Cisco Unified Communications Express Historical Reporting Client

TimeCardView と  Cisco Unified Communications Express  Historical Reporting Client TimeCardView と Cisco Unified Communications Express Historical Reporting Client を使用すると 次の 2 種類のレポート生成タスクを実行できます 履歴レポートの生成と表示 (P.27) (P.29) 上記以外の種類のタスクとしては 既存のレポート設定のロード がありますが これは TimeCardView の機能に関連しません

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

Quartus II - TimeQuest クイック・ガイド

Quartus II - TimeQuest クイック・ガイド Quartus II TimeQuest クイック ガイド ver. 9.1 2010 年 6 月 1. はじめに この資料は Quartus II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています TimeQuest は 独立したツールとして高性能なタイミング解析を行えるだけでなく Quartus II に対して TimeQuest の解析結果に基づいた配置配線を実行させることもできます

More information

電話機のファイル形式

電話機のファイル形式 この章では テキスト エディタを使用して作成する CSV データ ファイルのファイル形式を設定 する方法について説明します 電話機 CSV データ ファイルを作成するためのテキスト エディタ, 1 ページ の検索, 2 ページ CSV データ ファイルの電話機ファイル形式の設定, 3 ページ テキストベースのファイル形式と CSV データ ファイルの関連付け, 7 ページ 電話機 CSV データ ファイルを作成するためのテキスト

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

PowerPlay Early Power Estimator User Guide

PowerPlay Early Power Estimator User Guide PowerPlay Early Power Estimator User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01070-4.0 Document last updated for Altera Complete Design Suite version: Document publication date:

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

マルチ VRFCE PE-CE リンクのプロビジョ ニング

マルチ VRFCE PE-CE リンクのプロビジョ ニング CHAPTER 26 この章では Prime Fulfillment のプロビジョニングプロセスで MPLS VPN マルチ VRFCE PE-CE リンクを構成する方法を説明します MPLS VPN MVRFCE PE-CE リンクの概要 この項の内容は 次のとおりです ネットワークトポロジ (P.26-2) 前提タスク (P.26-2) Prime Fulfillment で MPLS VPN

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

VPN ユーザを管理し、RV016、RV042、RV042G および RV082 VPN ルータの速い VPN を設定して下さい

VPN ユーザを管理し、RV016、RV042、RV042G および RV082 VPN ルータの速い VPN を設定して下さい VPN ユーザを管理し RV016 RV042 RV042G および RV082 VPN ルータの速い VPN を設定して下さい 目標 バーチャルプライベートネットワーク (VPN) はインターネットのようなパブリックネットワークに異なるネットワークのエンドポイントを 接続する方法です VPN の有用なアプリケーションはそれらにインターネットにアクセスできる限り VPN クライアントソフトウェアのリモートユーザがプライベートネットワークのアクセス情報安全にできることことです

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

ユーザ デバイス プロファイルの ファイル形式

ユーザ デバイス プロファイルの ファイル形式 CHAPTER 34 CSV データファイルの作成にテキストエディタを使用する場合 デバイスフィールドと回線フィールドを CSV データファイル内で識別するファイル形式を使用する必要があります このファイル形式には次のオプションがあります Default User Device Profile: ユーザデバイスプロファイルのデバイスフィールドと回線フィールドの事前決定済みの組み合せを含む Simple

More information

ファイル メニューのコマンド

ファイル メニューのコマンド CHAPTER43 次のオプションは Cisco Configuration Professional(Cisco CP) の [ ファイル ] メニューから利用できます 実行コンフィギュレーションを PC に保存 ルータの実行コンフィギュレーションファイルを PC 上のテキストファイルに保存します 43-1 設定をルータに配信する 第 43 章 設定をルータに配信する このウィンドウでは Cisco

More information

monologue Sound Librarian 取扱説明書

monologue Sound Librarian 取扱説明書 J 3 目次 はじめに... 2 monologue Sound Librarian とは?... 2 使用上のご注意... 2 動作環境... 2 インストール... 3 Mac へのインストール... 3 Windows へのインストール... 3 クイック スタート... 4 monologue Sound Librarian を起動する... 4 monologue Sound Librarian

More information

使用する前に

使用する前に この章では Cisco Secure ACS リリース 5.5 以降から Cisco ISE リリース 2.4 システムへのデー タ移行に使用される Cisco Secure ACS to Cisco ISE Migration Tool について説明します 移行の概要 1 ページ Cisco Secure ACS から データ移行 1 ページ Cisco Secure ACS to Cisco ISE

More information

2. 設定画面から 下記の項目について入力を行って下さい Report Type - 閲覧したい利用統計の種類を選択 Database Usage Report: ご契約データベース毎の利用統計 Interface Usage Report: 使用しているインターフェイス * 毎の利用統計 * 専用

2. 設定画面から 下記の項目について入力を行って下さい Report Type - 閲覧したい利用統計の種類を選択 Database Usage Report: ご契約データベース毎の利用統計 Interface Usage Report: 使用しているインターフェイス * 毎の利用統計 * 専用 EBSCOadmin 利用統計設定方法 EBSCOadmin 内の Report & Statistics 機能をご利用頂くことで セッション別 発信元の IP アドレス別 デー タベース別 最も多く検索された雑誌タイトルなどに限定して ユーザーのデータベース利用頻度を把握すること ができます ここでは 基本的なデータベースの利用統計レポートの作成方法をご説明します 利用統計を設定する (=Standard

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

Upload path ファイル送信先ディレクトリのパスを指定します ホームディレクトリに画像を送信する場合は空白のまま サブディレクトリに画像を送信する場合はディレクトリ名を指定します さらに下位のディレクトリを指定する場合は \ マークを利用します 例 ) ホームディレクトリ以下の camera

Upload path ファイル送信先ディレクトリのパスを指定します ホームディレクトリに画像を送信する場合は空白のまま サブディレクトリに画像を送信する場合はディレクトリ名を指定します さらに下位のディレクトリを指定する場合は \ マークを利用します 例 ) ホームディレクトリ以下の camera はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダのファームウエアバージョン 5.5x 以降で 任意の間隔で画像を FTP サーバへ送信するための設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1. Internet Explorer などの Web ブラウザを起動します 2. Web ブラウザの URL

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

Upload path ファイル送信先ディレクトリのパスを指定します ホームディレクトリに画像を送信する場合は空白のまま サブディレクトリに画像を送信する場合はディレクトリ名を指定します さらに下位のディレクトリを指定する場合は \ マークを利用します 例 ) ホームディレクトリ以下の camera

Upload path ファイル送信先ディレクトリのパスを指定します ホームディレクトリに画像を送信する場合は空白のまま サブディレクトリに画像を送信する場合はディレクトリ名を指定します さらに下位のディレクトリを指定する場合は \ マークを利用します 例 ) ホームディレクトリ以下の camera はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダのファームウエアバージョン 5.4x 以降で 定期的に画像を FTP サーバへ送信するための設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1. Internet Explorer などの Web ブラウザを起動します 2. Web ブラウザの URL

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16 ALTIMA Corp. Quartus Prime ガイド Design Space Explorer II の使い方 Ver.16 2017 年 1 月 Rev.1 ELSENA,Inc. Quartus Prime ガイド Design Space Explorer II の使い方 目次 1. 2. はじめに...3 DSE II 概要...4 2-1. 2-2. 2-3. DSE II の推奨使用方法...

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

IBM Proventia Management/ISS SiteProtector 2.0

IBM Proventia Management/ISS  SiteProtector 2.0 CHAPTER 10 IBM Proventia Management/ISS SiteProtector 2.0 この章は 次の内容で構成されています グローバルイベントポリシーを定義する IBM Proventia Management/ISS SiteProtector (P.10-1) (P.10-5) グローバルイベントポリシーを定義する IBM Proventia Management/ISS

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

SIMATIC Selection Tool 操作ガイド

SIMATIC Selection Tool 操作ガイド SIMATIC Selection Tool 操作ガイド Ver.1.01 作成日 2012/11/29 5. STEP7 へ出力... 21 6. 更新履歴... 24 株式会社 PRO-SEED TEL: 0749-24-8737 FAX: 0749-24-8747 infopro-site@pr-seed-s.com 目次 1. 目的... 2 2. 起動方法... 2 3. 機器選定方法...

More information

Microsoft iSCSI Software Targetを使用したクラスタへの共有ディスク・リソースの提供

Microsoft iSCSI Software Targetを使用したクラスタへの共有ディスク・リソースの提供 Microsoft iscsi Software Target を使用したクラスタへの共有ディスク リソースの提供 はじめに... 2 クラスタ ホスト エントリの作成... 3 イニシエータの設定... 7 クラスタ ノード 1 のイニシエータ... 7 クラスタ ノード 2 のイニシエータ... 7 iscsi 仮想ディスクのエクスポート... 8 iscsi デバイスの初期化... 11 Microsoft

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

ゲートウェイ ファイル形式

ゲートウェイ ファイル形式 ゲートウェイ ファイル形式 この章では Cisco Unified Communications Manager 一括管理 BAT を使用して Cisco Unified Communications Manager データベース内の Cisco ゲートウェイのファイル形式を一括して設定す る方法について説明します ゲートウェイ ファイル形式の検索, 1 ページ ゲートウェイ ファイル形式の設定,

More information

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windows 7 (32bit 版 64bit 版 ) Windows 8 (32bit 版 64bit 版

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

LEAP を使用して Cisco ワイヤレス クライアントを認証するための Funk RADIUS の設定

LEAP を使用して Cisco ワイヤレス クライアントを認証するための Funk RADIUS の設定 LEAP を使用して Cisco ワイヤレスクライアントを認証するための Funk RADIUS の設定 目次 概要前提条件要件使用するコンポーネント表記法設定アクセスポイントまたはブリッジの設定 Funk ソフトウェアの Inc. Product 設定 Steel-Belted Radius Steel-Belted Radius のユーザの作成関連情報 概要 このドキュメントでは 340 および

More information

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の 付録 A. OP アンプ内部回路の subckt 化について [ 目的 ] 実験で使用した LM741 の内部回路を subckt 化して使用する [ 手順と結果 ] LTspice には sample として LM741 の内部回路がある この内部回路は LM741.pdf[1] を参照している 参考サイト : [1]http://www.ti.com/lit/ds/symlink/lm741.pdf

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

ゲートウェイのファイル形式

ゲートウェイのファイル形式 CHAPTER 47 Cisco Unified Communications Manager 一括管理 (BAT) を使用すると シスコのを Cisco Unified Communications Manager データベースに一括して設定できます 次のトピックでは これらのタスクの情報とについて説明します の検索 の設定 の検索 を検索するは 次のとおりです ステップ 1 [ 一括管理 ]>[

More information

図 1 アドインに登録する メニューバーに [BAYONET] が追加されます 登録 : Excel 2007, 2010, 2013 の場合 1 Excel ブックを開きます Excel2007 の場合 左上の Office マークをクリックします 図 2 Office マーク (Excel 20

図 1 アドインに登録する メニューバーに [BAYONET] が追加されます 登録 : Excel 2007, 2010, 2013 の場合 1 Excel ブックを開きます Excel2007 の場合 左上の Office マークをクリックします 図 2 Office マーク (Excel 20 BayoLink Excel アドイン使用方法 1. はじめに BayoLink Excel アドインは MS Office Excel のアドインツールです BayoLink Excel アドインは Excel から API を利用して BayoLink と通信し モデルのインポートや推論の実行を行います BayoLink 本体ではできない 複数のデータを一度に推論することができます なお現状ではソフトエビデンスを指定して推論を行うことはできません

More information

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc Anybus CANopen Master Simulator インストール手順補足説明資料 Version: A00 エイチエムエス インダストリアルネットワークス株式会社 222-0033 神奈川県横浜市港北区新横浜 3-19-5 新横浜第 2 センタービル 6F TEL : 045-478-5340 FAX : 045-476-0315 URL www.anybus.jp EMAIL セールス

More information

各種パスワードについて マイナンバー管理票では 3 種のパスワードを使用します (1) 読み取りパスワード Excel 機能の読み取りパスワードです 任意に設定可能です (2) 管理者パスワード マイナンバー管理表 の管理者のパスワードです 管理者パスワード はパスワードの流出を防ぐ目的で この操作

各種パスワードについて マイナンバー管理票では 3 種のパスワードを使用します (1) 読み取りパスワード Excel 機能の読み取りパスワードです 任意に設定可能です (2) 管理者パスワード マイナンバー管理表 の管理者のパスワードです 管理者パスワード はパスワードの流出を防ぐ目的で この操作 マイナンバー管理表 操作説明書 管理者用 2015 年 11 月 30 日 ( 初版 ) 概要 マイナンバー管理表 の動作環境は以下の通りです 対象 OS バージョン Windows7 Windows8 Windows8.1 Windows10 対象 Excel バージョン Excel2010 Excel2013 対象ファイル形式 Microsoft Excel マクロ有効ワークシート (.xlsm)

More information