HardCopy IIデバイスのタイミング制約

Size: px
Start display at page:

Download "HardCopy IIデバイスのタイミング制約"

Transcription

1 7. HardCopy II H Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II TimeQuest TimeQuest Synopsys Design Constraints SDC TimeQuest Quartus II Volume 3 TimeQuest Altera Corporation 7 1

2 HardCopy Volume 1 HardCopy II ASIC Stratix II FPGA TimeQuest HardCopy II Advisor Quartus II HardCopy II HardCopy II HardCopy II Stratix II HardCopy II ASIC Stratix II FPGA HardCopy II Quartus II Stratix II FPGA HardCopy II Stratix II HardCopy II I/O Stratix II HardCopy II HardCopy II Stratix II Stratix II ALM HardCopy II HCell HardCopy II HardCopy II SRAM HardCopy II HardCopy II 7 2 Altera Corporation

3 HardCopy II Stratix II FPGA Stratix II ALM HardCopy II HCell ALM HardCopy II HCell HCell Stratix II ALM MUX Stratix II ALM Stratix II FPGA ALM HardCopy II HardCopy II SRAM Stratix II 1 HardCopy II 5 6 SRAM SRAM HardCopy II Stratix II FPGA HardCopy II Stratix II HardCopy II Stratix II FPGA HardCopy II DSP Stratix II FPGA DSP DSP DSP DSP HardCopy II Altera Corporation 7 3

4 HardCopy Volume 1 DSP Stratix II DSP HCell DSP DSP HardCopy II Stratix II FPGA RAM Stratix II FPGA HardCopy II DSP RAM HardCopy II Stratix II FPGA I/O HardCopy II I/O Stratix II I/O I/O I/O 1 I/O I/O HardCopy II Stratix II I/O HardCopy II Stratix II HardCopy II PLL Stratix II FPGA HardCopy II ASIC Stratix II FPGA SRAM 7 4 Altera Corporation

5 HardCopy II PLL HardCopy II SRAM I/O I/O I/O Quartus II Stratix II FPGA HardCopy II ASIC I/O PLL Stratix II HardCopy II PLL Quartus II HardCopy II PLL PLL Stratix II FPGA HardCopy II ASIC HardCopy II HardCopy II ASIC Stratix II FPGA Quartus II Altera Corporation 7 5

6 HardCopy Volume 1 HardCopy II HardCopy Design Center HCDC HCDC ASIC Quartus II Quartus II Stratix II FPGA HardCopy II ASIC Stratix II HardCopy II ASIC HardCopy II HardCopy II Quartus II TimeQuest FPGA TimeQuest Quartus II TimeQuest TimeQuest TimeQuest TimeQuest SDC SDC Tcl GUI 7 6 Altera Corporation

7 HardCopy II HardCopy II TimeQuest HCDC Quartus SDC 7 1 Quartus II HardCopy II TimeQuest FPGA HardCopy II HardCopy II Stratix II Altera Corporation 7 7

8 HardCopy Volume Stratix II (1) Stratix II Revision Timing Constraints HardCopy II Revision Timing Constraints Stratix II Design Setup Compilation Constraint Coverage Checks Static Timing Analysis FPGA Prototyping HardCopy II Design Setup Compilation Constraint Coverage Checks Static Timing Analysis HardCopy II Structured ASIC Design FPGA Prototype Design Industry Standard SDC Timing Constraints Revision Comparison HardCopy Design Center Handoff 7 1 : (1) Stratix II HardCopy II TimeQuest SDC.sdc Quartus.qsf 7 1 Quartus II Stratix II FPGA TimeQuest TimeQuest Altera Corporation

9 HardCopy II TimeQuest Quartus II Synopsys PrimeTime Stratix II FPGA HardCopy II Stratix II FPGA HardCopy II Stratix II FPGA HardCopy II HardCopy II HardCopy II HardCopy II Stratix II TimeQuest Quartus II HardCopy II 1 Stratix II HardCopy II Stratix II FPGA Quartus II HCDC HardCopy II SDC Quartus II sdc HardCopy II Quartus II sdc Quartus II Quartus II sdc Quartus II Scripting Reference Manual Tcl Packages and Commands sdc Altera Corporation 7 9

10 HardCopy Volume 1 TimeQuest TimeQuest Quartus II HardCopy II TimeQuest HardCopy II HardCopy II Advisor TimeQuest HardCopy II Advisor TimeQuest TimeQuest report_ucp TimeQuest GUI Tasks Report Unconstrained Paths 7 10 Altera Corporation

11 HardCopy II TimeQuest HardCopy SDC TimeQuest Quartus II 2 Tcl sdc sdc_ext HardCopy II sdc SDC 1.5 Quartus II SDC sdc_ext SDC 1.5 TimeQuest HardCopy II SDC HCDC HardCopy Design Center sdc_ext Quartus II sdc sdc_ext Quartus II Scripting Reference Manual Tcl Packages and Commands sdc SDC and TimeQuest API Reference Manual Quartus II 7 3 Altera Corporation 7 11

12 HardCopy Volume TimeQuest TimeQuest Quartus II Volume 3 TimeQuest QuartusII 7 12 Altera Corporation

13 HardCopy II Quartus II HardCopyII HardCopy II Advisor HardCopy II HardCopy II Advisor Design Assistant HardCopy Hardware Design Considerations Design Guidelines for HardCopy Series Devices HardCopy II Advisor Quartus II 7 4 Enable Recovery/Removal Analysis / Enable Timing Constraints Check Report Combined Fast/Slow Timing / Report I/O Paths Separately I/O I/O Enable Clock Latency Enable Misc. Timing Assignments CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS OFF UCP PLL ON Altera Corporation 7 13

14 HardCopy Volume HardCopy II Advisor TimeQuest HardCopy II HardCopy II Advisor Check for Incompatible Assignments Remove Unsupported Global Timing Assignments Remove Unsupported Instance Timing Assignments 7 5 HardCopy II 7 23 HardCopy II Quartus II HardCopy II HCDC 7 14 Altera Corporation

15 HardCopy II 7 5. HardCopy II Advisor Altera Corporation 7 15

16 HardCopy Volume 1 Stratix II HardCopy II Timing Constraints Check 7 6 I/O 7 6. TimeQuest Quartus II 7 16 Altera Corporation

17 HardCopy HardCopy HardCopy HardCopy Design Center FPGA HardCopy FPGA HardCopy HardCopy SDC Quartus II SDC File Editor Constraints SDC SDC Quartus II Volume 3 TimeQuest TimeQuest Quartus II Volume 3 TimeQuest Quartus II Volume Altera Corporation 7 17

18 HardCopy Volume Clock Uncertainty Clock Period = 10.0 ns clk Rising Edge of Clock Falling Edge of Clock PLL PLL PLL PLL PLL set_clock_uncertainty PLL PLL FAE MySupport SDC PLL SDC # create_clock -period [get_ports clkin] #PLL derive_pll_clocks 7 18 Altera Corporation

19 HardCopy derive_pll_clocks sdc_ext HardCopy II sdc HCDC sdc generated_pll_clock API SDC and TimeQuest API Reference Manual 2 HardCopy FPGA External Device D Q dff Data Path Delay Primary Input to PLD/HardCopy Series Device Data Path Delay D dff Q External Input Delay HardCopy Device or FPGA 7 9 Altera Corporation 7 19

20 HardCopy Volume tsu for a Primary Input Port data Data Path Delay tsu clk Clock Delay th for a Primary Input data Data Path Delay th clk Clock Delay Altera Corporation

21 HardCopy 1 HardCopy External Device D Q dff Data Path Delay Primary Output from FPGA/HardCopy Series Device Data Path Delay D Q dff HardCopy Device or FPGA External Output Delay Tco Clock-to-Output T CO 7 12 T CO T CO Clock-to-Output (T co ) tco Data Path Delay output clk Clock Delay tco for a Primary Output Port Altera Corporation 7 21

22 HardCopy Volume input Data Path Delay output Combinational Delay Arc 2 HCDC HardCopy HardCopy 7 22 Altera Corporation

23 HardCopy II HardCopy II Quartus II HardCopy II HCDC SDC HardCopyII TSU Th TCO Min T CO T PD Quartus II HardCopy II Quartus II SDC HCDC HardCopy II Advisor Incompatible Assignments 7 5 SDC TimeQuest HardCopy II Quartus II HardCopy II HardCopy II Quartus II Altera Corporation 7 23

24 HardCopy Volume TCO Th TSU Min T CO HardCopy II 7 1. TSU TH TCO Minimum T CO (1) (2) (3) (4) (5) setup_relationship set_input_delay hold_relationship set_output_delay TSU Req TSU -max <TCK-TSU> Th Req -min Th -Th TCO Req TCO -max <TCK-TCO> Min T CO Req Min T CO -min <- Min T CO > 7 1 : (1) TSU = TSU (2) TCO = TCO (3) Th = Th (4) Min T CO = Min T CO (5) TCK = TSU TCO HardCopy II Quartus II Quartus II ASIC HardCopy Design Center HardCopy II 7 24 Altera Corporation

25 & v v2.0 Quartus II Quartus II HardCopy II TimeQuest TimeQuest 22 HardCopy HardCopy II v1.0 HardCopy Quartus II 6.1 TimeQuest HardCopy II TimeQuest HardCopy Altera Corporation 7 25

26 HardCopy Volume Altera Corporation

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

AN 477: Designing RGMII Interface with HardCopy

AN 477: Designing RGMII Interface with HardCopy FPGA および HardCopy デバイスとの RGMII インタフェースの設計 ver. 1.0 Application Note 477 はじめに RGMII(Reduced Gigabit Media Independent Interface) は IEEE 802.3z GMII に代わるもので ピン数の削減が図られています ピン数の削減は クロックの立ち上がりと立ち下がりの両エッジでデータをやりとりし

More information

Quartus II はじめてガイド - TimeQuest によるタイミング制約の方法

Quartus II はじめてガイド - TimeQuest によるタイミング制約の方法 ALTIMA Corp. Quartus II はじめてガイド TimeQuest によるタイミング制約の方法 ver.15 2015 年 9 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド TimeQuest によるタイミング制約の方法 目次 1. 2. はじめに...3 SDC ファイルの作成方法...5 2-1. 2-2. Analysis & Synthesis(

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

Quartus II - TimeQuest クイック・ガイド

Quartus II - TimeQuest クイック・ガイド Quartus II TimeQuest クイック ガイド ver. 9.1 2010 年 6 月 1. はじめに この資料は Quartus II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています TimeQuest は 独立したツールとして高性能なタイミング解析を行えるだけでなく Quartus II に対して TimeQuest の解析結果に基づいた配置配線を実行させることもできます

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

QII Quartus II TimeQuest Quartus II TimeQuest ASIC Quartus II TimeQuest GUI Quartus II TimeQuest GUI Synopsys Design Constraints SDC Qua

QII Quartus II TimeQuest Quartus II TimeQuest ASIC Quartus II TimeQuest GUI Quartus II TimeQuest GUI Synopsys Design Constraints SDC Qua QII53018-8.0.0 7. Quartus II TimeQuest Quartus II TimeQuest ASIC Quartus II TimeQuest GUI Quartus II TimeQuest GUI Synopsys Design Constraints SDC Quartus II Quartus II TimeQuest Report Console Quartus

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Quartus IIネットリスト・ビューワによるデザインの解析

Quartus IIネットリスト・ビューワによるデザインの解析 12. Quartus II QII51013-6.0.0 FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II 12 46 State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp 2. SIV53002-3.0 Stratix IV GX 2 3 2 7 2 9 2 10 2 11 2 13 2 1 2009 3 Altera Corporation Stratix IV Device Handbook Volume 3 2 2 2 2 1. Architecture Device Speciication Transceiver Coniguration Select Options

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

9BBH3A8_P0000

9BBH3A8_P0000 02 Yamaha CSR Report 2007 03 Yamaha CSR Report 2007 04 Yamaha CSR Report 2007 Yamaha CSR Report 2007 05 06 Yamaha CSR Report 2007 Yamaha CSR Report 2007 07 08 Yamaha CSR Report 2007 09 Yamaha CSR Report

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

.o...EPDF.p.indd

.o...EPDF.p.indd Social and Environmental report 28 1 2 3 5 7 9 11 12 17 18 19 22 24 25 26 27 28 29 3 2 3 4 5 6 7 4 1 2 5 3 6 8 9 1 1 2 3 4 11 12 1 2 3 4 13 14 1 2 3 4 5 6 7 8 15 16 17 1 2 3 18 19 1 2 3 4 2 21 1 2 3 4

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

CSR報告書2006

CSR報告書2006 2006 CONTENTS 1 5 6 7 9 11 13 15 18 19 21 23 25 27 29 31 33 35 37 39 41 44 45 47 49 53 54 q w 15000 14,256 14,154 12,051 12,070 12,038 12000 9,672 10,594 9000 8,821 8,858 8,931 6000 3,848 3,004

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

.......p...{..P01-48(TF)

.......p...{..P01-48(TF) 1 2 3 5 6 7 8 9 10 Act Plan Check Act Do Plan Check Do 11 12 13 14 INPUT OUTPUT 16 17 18 19 20 21 22 23 24 25 26 27 30 33 32 33 34 35 36 37 36 37 38 33 40 41 42 43 44 45 46 47 48 49 50 51 1. 2. 3.

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

コンポーネント・インタフェースTclリファレンス

コンポーネント・インタフェースTclリファレンス QII54022-8.0.0 7. Tcl SOPC Builder Tcl _hw.tcl SOPC Builder _hw.tcl 1 SOPC Builder SOPC Builder 4 HDL _hw.tcl SOPC Builder C Tcl _sw.tcl 7 1 Tcl 7 2 7 2 Tcl 7 8 7 13 Tcl Tcl Tcl Basic component information

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

t-co 2 4000 3500 3000 2500 2000 1500 1000 500 0 167 128 116 191 239 183 88 96 87 360 369 360 68 78 3638 64 3676 3509 7 8 8 14 12 10 8 11 3 6 6 6 4 4 3 4 7 7 2 5 1 1 2 1 2 1 1 2 0 0 0 650 638 627

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop TU0135 (v1.0) 2008 5 17 Desktop NanoBoard FPGA CUSTOM_INSTRUMENT GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 Desktop NanoBoard 8 DIP LED DAUGHTER BD TEST/RESET DIP ( ) DAUGHTER BD TEST/RESET

More information

Quartus II - Chip Planner クイック・ガイド

Quartus II - Chip Planner クイック・ガイド - Quartus II - Chip Planner クイック ガイド ver.9.0 2009 年 8 月 1. はじめに この資料は Quartus II の Chip Planner の使用方法を紹介しています Chip Planner は 従来のフロアプランと Chip Editor が統合された機能です この機能により Quartus II 上でアルテラ デバイスの内部構造の表示 内部タイミングの調査

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

N12866N2P-H.PDF

N12866N2P-H.PDF 16Mx64bits PC133 SDRAM SO DIMM Based on 16Mx16 SDRAM with LVTTL, 4 banks & 8K Refresh (16M x 16bit) /. / 1 A0 ~ A12 BA0, BA1 CK0, CK1 CKE0 /S0 /RAS /CAS /WE DQM0 ~ DQM7 DQ0 ~ DQ63 SA0~2 SDA SCL VCC 3.3

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

KDDI Annual Report 2002

KDDI Annual Report 2002 pg.22 KDDI CORPORATION Annual Report $ 515 3,610 (194) 734 247 275 5,187 21,239 3,607 975 483 1,081 141 27,526 (12,882) 14,644 489 298 1,833 460 378 842 (90) 4,210 $24,041 68,596 481,064 (25,793) 97,797

More information

Kumagai09-hi-2.indd

Kumagai09-hi-2.indd CSR2009 CONTENTS 1 2 3 4 5 6 7 8 9 10 350 11 12 13 14 15 16 17 18 Do Check Action Plan 19 20 INPUT r r r r k k OUTPUT 21 22 Plan Action Check Do 23 24 25 26 27 28 16:50 7:30 8:00 8:30 9:30 10:00 18:00

More information

Since

Since Since 1885 16.4 15.6 14.2.8 39.4 13.6 21 211 212 213 214 8,88 227 186,164 181,869 184,412 197,495 21,995 11,466 11,67 15,474 16,899 22,1 1, 24, 3,54 5,686 7,518 9,615 17,467 8,88 8,37 8,288 8,29 8,319

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Quartus II ハンドブック  Volume 5、セクションIV. マルチプロセッサの調整 IV. SOPC Builder Nios II 9 Avalon Mutex 10 Avalon Mailbox 9 10 / 9 v5.1.0 2005 5 v5.0.0 Nios II 2004 12 v1.0 10 v5.1.0 2005 5 v5.0.0 Altera Corporation IV 1 Quartus II Volume 5 IV 2 Altera Corporation

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

2 3 4 5 6 7 8 9 10 12 14 17 20 23 26 28 29 30 32 33 34 35 2

2 3 4 5 6 7 8 9 10 12 14 17 20 23 26 28 29 30 32 33 34 35 2 2 3 4 5 6 7 8 9 10 12 14 17 20 23 26 28 29 30 32 33 34 35 2 21 3 CO-GROWING HUMAN CONVINNOVATION 4 5 6 ISO14001 PDCA Plan Do Check Action Plan Do Check Action 7 a a a 8 9 10 input \ output \ DATA input

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

102

102 5 102 5 103 q w 104 e r t y 5 u 105 q w e r t y u i 106 o!0 io!1 io q w e r t y 5 u 107 i o 108 q w e q w e r 5 109 q w 110 e r t 5 y 111 q w e r t y u 112 i q w e r 5 113 q w e 114 r t 5 115 q w e 116

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

1

1 Corporate Social Responsibility Report CSR 2012 1 CSR 2012 http://www.daikin.co.jp/csr/ http://www.daikin.co.jp/investor/ 3 5 7 15 17 19 20 21 23 26 27 28 11 29 31 33 35 37 40 41 45 46 39 2 3 4 5 6 7 8

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt 40nm FPGA が実現する最先端メモリ インタフェース 日本アルテラ株式会社プロダクト マーケティング マネージャ橋詰英治 アルテラが提供する完全なソリューション CPLD 低コスト FPGA ミッド レンジ FPGA 高集積 高性能 多機能 FPGA ASIC エンベデッド プロセッサ IP コア製品群 開発ソフトウェア 開発キット 2 最新 40nm FPGA & ASIC 製品 11.3Gbps

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

P001-052.indd

P001-052.indd Quality of Life 1 TEIJIN CSR Report 2014 2 TEIJIN CSR Report 2014 CONTENTS 3 5 9 15 21 23 25 26 28 37 38 41 42 29 30 34 35 36 43 44 45 49 50 51 52 http://www.teijin.co.jp/csr/ WEB 3 TEIJIN CSR Report 2014

More information

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi ALTIMA Company, MACNICA, Inc. HDL Designer Series Ver. 2016.2 2017 7 Rev.1 ELSENA,Inc. 1. 2. 3....3 HDL Designer Series...3...4 3-1. 3-2. SupportNet... 4... 5 4....6 4-1. 4-2.... 6 GUI... 6 5. HDL Designer

More information

untitled

untitled LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977 6499 Tel: (845) 578 6020, Fax: (845) 578 5985 Internet: www.lecroy.com 2010 by LeCroy Corporation. All rights reserved. LeCroy and other

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

01 DAITO GROUP CSR Report 2014

01 DAITO GROUP CSR Report 2014 DAITO GROUP CSR Report 2014 01 DAITO GROUP CSR Report 2014 DAITO GROUP CSR Report 2014 02 DAITO GROUP CSR Report 2014 03 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 DAITO GROUP CSR Report 2014

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

MCS-8M

MCS-8M 4-296-435-02 (1) Volume I MCS-8M 2011 Sony Corporation m a b c I-2 ...I-6...I-7...I-8...I-8...I-9 1...I-10...I-11 1 2 3 4 5 1 2 3 4 5 2...I-17...I-19...I-21...I-23...I-26 3...I-28...I-31...I-35...I-36...I-37...I-39...I-40...I-41...I-42...I-42...I-46...I-47

More information

2009 1. 2. 3. 4. 5. 2 2009 CONTENTS 4 6 8 TOPIC 01 10 TOPIC 02 11 TOPIC 03 12 TOPIC 04 14 TOPIC 05 15 TOPIC 06 15 TOPIC 07 16 18 18 19 20 21 22 22 22 23 24 25 26 27 27 27 28 29 30 TOPIC 08 16 TOPIC 09

More information

01

01 01 02 03 04 KOKUYO GROUP CSR REPORT 2009 KOKUYO GROUP CSR REPORT 2009 CONTENTS 9 11 12 13 15 17 18 19 20 5 05 06 07 08 09 a 2 5 1 4 3 2 3 4 5 1 10 11 12 13 14 15 16 17 a 18 19 a 20 21 KOKUYO GROUP CSR

More information

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16 ALTIMA Corp. Quartus Prime ガイド Design Space Explorer II の使い方 Ver.16 2017 年 1 月 Rev.1 ELSENA,Inc. Quartus Prime ガイド Design Space Explorer II の使い方 目次 1. 2. はじめに...3 DSE II 概要...4 2-1. 2-2. 2-3. DSE II の推奨使用方法...

More information

Cyclone II Device Handbook

Cyclone II Device Handbook VI. Cyclone II Cyclone II JTAG 13 Cyclone II 14 Cyclone II IEEE 1149.1 (JTAG) Altera Corporation VI 1 Preliminary Cyclone II, Volume 1 13 14 / 13 2004 11 v1.1 2004 6 v1.0 14 2004 6 v1.0 AS AS 13-8 MAX

More information

..0.._0807...e.qxp

..0.._0807...e.qxp 4 6 0 4 6 0 4 6 8 30 34 36 38 40 4 44 46 8 8 3 3 5 4 6 7 3 4 6 7 5 9 8 3 4 0 3 3 4 3 5 3 4 4 3 4 7 6 3 9 8 Check 3 4 6 5 3 4 0 3 5 3 3 4 4 7 3 3 4 6 9 3 3 4 8 3 3 3 4 30 33 3 Check Check Check Check 35

More information