スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 Let s learn Signal Chain セッション 7 : A/D コンバータ性能の理解 R 14 R 15 Ratio-metric Biasing Circuit Ver.-2 A6 R 13 REF Buffer C 5 R 7 R 8 C 2 A1 R 3 R 4 A4 R 11 REF IN+ VS+ R G R 1 R 2 A3 R 9 Scaling R 10 R 12 C 3 C 4 ADC IN- GND A2 R 5 R 6 R 11 A5 Bridge Sensor Front-End Instrumentation Amp C 1 R 12 Buffer 1 1

2 セッション インデックス S7.1 A/Dコンバータのノイズ性能 (1)ENOBとNFBの概念 (2) 各種 ADCの実力 S7.2 ADC の主要スペック (1) 表紙の記述は検討上での重要情報 (2) ドライブ条件 ( 入力部の仕様 ) (3) システム性能 (4) サンプリング性能 (5) ダイナミック性能 2 2

3 S7.1 A/D コンバータのノイズ性能 (1)ENOBとNFBの概念 (2) 各種 ADCの実力 S7.2 ADC の主要スペック (1) 表紙の記述は検討上での重要情報 (2) ドライブ条件 ( 入力部の仕様 ) (3) システム性能 (4) サンプリング性能 (5) ダイナミック性能 3 3

4 ENOB と NFB の概念 : 用途と A/D に要求されるノイズ性能 用途で見た必要な ENOB( 有効分解能 ). ENOB=Effective Number Of Bits 電子炊飯器分野 専用 IC 12~24Bit A/D 計測 制御分野 分解能 Bit A/D CPU A/D CPU A/D 内蔵 CPU 8~16Bit CPU 内蔵 A/D? 16Bit,24Bit 4 4

5 ENOB と NFB の概念 : ノイズの統計処理を ADC の評価へ導入 有効分解能 ENOB : ( σ) ENOB = N Log2 2 ±1σ のばらつきを差し引いた残りの有効な Bit 分解能 Bit(rms) 式 5-1 ここでNは, 製品分解能 ピーク ツー ピーク ノイズを反映したBit 分解能 : ノイズフリー ビット ( 以降,NFB) NFB = N Log2( m) Bit(p-p) 式 5-2 ここでmは, コードのp-p ばらつき 下の例でA/Dを24Bitとすれば NFB 24 Log2 ( 6) = = Bit(p-p) 発生頻度 7FFFFDh 7FFFFEh 1LSB DC 入力 h 6LSB p-p 7FFFFFh h h -σ +σ h h 内部雑音 ノイズによるコードのヒストグラム 5 5

6 ENOB と NFB の概念 : 各分解能の概念 ±3.3σ(CF6.6) PRD( 製品 )Bit 幅 ENOB( 有効分解能 )Bit 幅 NFB( ノイズ フリー )Bit 幅 ±σ(cf2) 0 (V) PRD Bit 幅 FSR (V) ENOB Bit 幅 NFB Bit 幅 6 6

7 ENOB と NFB の概念 : 高分解能 A/D でのスペック併記 ADS1232 のデータシートから抜粋したアナログ表現の ENOB と NFB の定義 ENOB=ln(FSR/RMS noise)/ln2 Noise-Free=ln(FSR/Peak-to-Peak noise)/ln2 内部アンプのゲイン RTO FSR ln Vrms FSR ENOB = = LOG2 式 5-3 ln(2) Vrms 7 FSR ln = Vp p NFB 2 ln 2 FSR = LOG ( ) Vp p 式 5-4 内部で増幅した方が有利ここで Vrms = 実効値雑音電圧 FSR=フルスケール レンジ. 単位は電圧ここで Vp-p =ピーク ツー ピーク雑音 7

8 ENOB と NFB の概念 : RMS ノイズから p-p ノイズへの換算 ENOB(rms) のみ表示されたA/Dにσの幅をかけることで, NFB(p-p) を * 確率として求めることができる. * 計算値を上回る p-p ノイズの確立 (%) は σ の値を大きくするほど小さくなる. ランダム ノイズのクレストファクタ (CF) σ の幅を係数とする σ(cf) p-pノイズ確率確立 (%) 2xCF E xCF E 多くの A/D の IC メーカではノイズ換算に 6.6 を使用. (1000 個のデータを採って外れる確率が 1 個 ) ビット換算では ( 6.6) ( 2) ln N P P = Log2 ( 6.6) = = 2.72 ln ( bit) 式 5-5 4xCF E xCF E xCF E xCF E xCF E xCF E 表データは, セッション 3 オペアンプのスペックと意味 のスライド 16 より引用. 8 8

9 各種 ADC の実力 : ADC の実力評価システム 表計算ソフト ユーザ インターフェース OS - Windows XP TIJ にて開発 ( 顧客へ提供可能 ) 専用 USB デバイス ドライバ CPU 内蔵 A/D または専用 A/D DUT A/D VC5509A *DSK *DSP Starter Kit ファームウエア VC5509 のホスト機能 外部 CPU が DSP のレジスタに直接アクセスできる 9 9

10 各種 ADC の実力 : CPU 内蔵,10Bit A/D の実力 表計算ソフトによる統計処理 データ区間 頻度 最小最頻値 ( モード ) 最大 統計計算で求まった有効分解能 ENOB ENOB = 10 Log2 = ( ± σ) 9.2( Bit_rms) 合計 2048 サンプル数 標準統計量 平均 中央値 ( メジアン ) 56 最頻値 ( モード ) 56 標準偏差 σ 最大 58 最小 55 範囲 p-pノイズ 3 標本数 Bit 1LSB 10 10

11 各種 ADC の実力 : DSP C280X 内臓,12Bit A/D の実力 表計算ソフトによる統計処理 統計計算で求まった有効分解能 データ区間 頻度 合計 2048 最小最頻値最大 サンプル数 ENOB = 12 Log2 = ( 2σ) 11.9(Bit_rms) 標準統計量 平均 中央値 ( メジアン ) 46 最頻値 ( モード ) 46 標準偏差 σ 最大 47 最小 45 範囲 p-pノイズ 2 標本数 Bit 1LSB 11 11

12 各種 ADC の実力 : 16Bit 逐次比較 A/D,ADS8325 の実力 表計算ソフトによる統計処理 データ区間 頻度 合計 2048 最小最頻値最大 サンプル数 σ が 1 以下 (0.296) なので NFB 16 Bit 標準統計量 平均 中央値 ( メジアン ) 25 最頻値 ( モード ) 25 標準偏差 σ 最大 26 最小 24 範囲 p-pノイズ 2 標本数 Bit 1LSB 12 12

13 各種 ADC の実力 : 24Bit 型 A/D,ADS1256 の実力 PGA=1,DR 設定 =2.5SPS PGA=1,DR 設定 =1kSPS 発生比率 (%) 発生比率 (%) 出力コード (LSB) 28LSB pk-pk から ENOB を逆算すると 出力コード (LSB) 28LSB pk-pk 24Bit 2 ENOB = LOG + LOG2 28LSB 2 = ( 6.6) 21.9( Bit) 式 5-6 ノイズのクレスト ファクタを 6.6 とした値

14 A/D コンバータのノイズ性能 : まとめとして の最下位桁まで安定に表示するには ENOB = Log 2 ( 100) + Log (6.6) 9.36(Bit _rms) 2 = NFB 6.64Bit CF 2.72Bit マイコン内蔵のA/DでOK の最下位桁まで安定に表示するには ( 10000) + Log (6.6) 16.01(Bit _rms) ENOB = Log 2 2 = NFB 13.29Bit CF 2.72Bit 単体のA/Dを使用 14 14

15 S7.1 A/D コンバータのノイズ性能 (1)ENOBとNFBの概念 (2) 各種 ADCの実力 S7.2 ADC の主要スペック (1) 表紙の記述は検討上での重要情報 (2) ドライブ条件 ( 入力部の仕様 ) (3) システム性能 (4) サンプリング性能 (5) ダイナミック性能 15 15

16 ADC の主要スペック :2 つの情報を結ぶスペック群 ADC はアナログとデジタルの境界 AMP Analog A/D Core Digital DSP or CPU 入力レンジ シングル / 差動 入力インピーダンス 帯域幅 分解能 変換速度 サンプリング特性 NMC 通信形式 制御タイミング ロジック レベル 16 16

17 ADC の主要スペック : 表紙のスペック記述は重要情報,ADS8422 No Missing Code ( 英語のまま紹介 ) 例えばサーボ ループ 擬似バイポーラ入力 信号 分離 Hi-Z Lo-Z Charge Injection 17 17

18 ドライブ条件 : 外部から見たアナログ入力,ADS8422 の例 2 1 グランド基準 VREF 基準 グランド基準 フットノート 前段アンプのドライブ能力に関係 記事 : 入力容量が 50pF を越す場合は, 広帯域オペアンプでドライブできない ( 発振する )

19 ドライブ条件 : 前段アンプとの接続,ADS8422 の例 R I R F リミット Vref+0.2VとGND-0.2Vは前段アンプのオフセットのマージン. ( 積極的に使用すべきレベルではない ) 2 Vref+0.2V Vref 4.096V V 1 V 2 V 1 +IN AC 信号 + DC 成分 R R R R 差動出力アンプ 3V CM V V GND 2 GND-0.2V V -IN 4 30pF 5 1nA X 2 A/D コア ½ 1 V CM = Vref = (V) COMMON OUT 19 19

20 基準電圧関係 : COMMOUT の利用方法 面倒なスケーリング計算は TI の WEB でサポート 計算ソフト THS4131 ADS

21 システム性能 :ADC をシステムとして見る,ADS8422 の例 上位 15 ビットは全コード発生 MIN TYP MAX (NMC) (ILE / INL) (DLE / DNL) NMCで2グレード ILEで2グレード DLEで2グレード ±4LSB 264μVp-p 264μVp-p 1LSB=125μV 21 21

22 システム性能 : 2ILE,4 オフセット,5 ゲイン誤差の概念 実際の A/D y = ax n + b フルスケール誤差 出力コードy 2 直線性誤差 n 5 ゲイン誤差 a y = x 理想の A/D 4 オフセット誤差 b アナログ入力 x 22 22

23 出力コードシステム性能 : エンド ポイント法での 2 ILE および 3 DLE 終点 3 DLE FFFFh 8001h FFFEh 8000h 7FFFh 7FFEh 2 ILE % of FSR 始点 0001h 0000h フルスケール レンジ FSR V 1 A/D への入力電圧 V

24 システム性能 : 16 ビット分解能,1 15 ビット NMC 保証の例 各コードの発生頻度を見ると 24575(5FFFh) の発生頻度が群を抜いて多く, 次の 24576(6000h) がゼロ. テスト波形 ( のこぎり波 ) のノイズの振幅が一定ならば, 各コードの発生頻度はそのビット幅に依存する. よって 6000h のビット幅はゼロ. ほかに 7FFFh から 8000h などのビットの総入れ替え時に発生しやすい. CODE SAMPLE 数 HEX DEC 頻度 5FF FF FF FFA FFB FFC FFD FFE FFF A B C TTL 上位 15Bit では連続 24 24

25 サンプリング性能 : サンプリング スペック,ADS8422 の例 MIN TYP MAX 180ns 70ns 180ns+70ns=250ns 時間軸での精度.AC 波形解析では重要なスペックオペアンプのスルーレートに近いスペック 過入力は変換時間の遅延要因

26 サンプリング性能 : スペックの用語と波形との対応 (1) SW: アナログ スイッチ R ON :SW のオン抵抗 C H : ホールド コンデンサ アナログ入力 サンプル / ホールド SW R ON V I A/D C H H= オン R ON と C H の時定数による勾配 変換スタート 3 Throughput Rate Acquisition Time 2 1 Conversion Time SW オン SW オフ 時間 26 26

27 サンプリング性能 : スペックの用語と波形との対応 (2) ホールド レベルの揺らぎ 過大入力 フルスケール入力レベル S N1 S N S N2 誤差 < 0.5LSB 90% 5 Aperture Jitter Over Voltage Recovery 7 0V Delay time, CONVST rising edge to sample start 8 27 CONVST ( 変換開始信号 ) 6 Step Response 4 Aperture Delay 2 Acquisition Time サンプル モードホールド モード変換開始 27

28 メモリー基板サンプリング性能 : アパチャー ジッタの大きさと SNR の関係 オリジナル波形 書込み 読出し デジタイズド波形 ノイズや歪 5 アパチャジッタまたは変換クロック ジッタ 読出しクロック ( π F t) SNR = 20Log 2 C (db) 式 5-7 ここで Δt= ジッタ,Fc= 信号周波数 見本のデータシートの値をあてはめ Δt=7(ps),Fc=100(kHz) とすれば SNR = 20log ( π ) = 107 (db) 16ビットA/Dの理論 S/N 比は = (db) Δt=7(ps) は Fc=100(kHz) においては妥当な値 28 28

29 サンプリング性能 : 変換クロック ジッタは位相ジッタに a(t) = Am Sin( ωt ± t + φ) 式 5-8 Jitter Amplitude TIME (s) 29 29

30 サンプリング性能 : 位相ジッタ対信号レベルおよび信号周波数 信号レベル 小 信号周波数 高 Amplitude Amplitude TIME (s) TIME (s) 30 30

31 サンプリング性能 : 帯域制限を加味しないジッタ対 SNR アパチャー ジッタ対 SNR アパチャー ジッタ対 SNR SNR(dB) Bit N Ideal SNR (db) k k 100k 1M 1.E+03 1.E+04 1.E+05 1.E 信号周波数 (Hz) 周波数 (Hz) 31 31

32 ダイナミック性能 : AC 解析に重要なスペック群

33 ダイナミック性能 : 高調波歪と SFDR の関係 0dBFS 5 次 ~10 次はエリアスで, 周波数がナイキスト周波数 (f S /2=50kHz) を超えるため, サンプリング周波数 f S =100kHz のビートとして低周波領域に折り返す. フルスケール入力 基本波 THD 3 2 高調波 10 6 SFDR 5 ダイナミックレンジ 4 5 波形成分オリジナル折り返し 1 : 信号 12kHz 2 : 第 2 次高調波 24kHz 3 : 第 3 次高調波 36kHz 4 : 第 4 次高調波 48kHz 5 : 第 5 次高調波 60kHz 1 00k Hz - 60kHz = 40kHz 6 : 第 6 次高調波 72kHz 100kHz - 72kHz = 28kHz 7 : 第 7 次高調波 84kHz 100kHz - 84kHz = 16kHz 8 : 第 8 次高調波 96kHz 100kHz - 96kHz = 4kHz 9 : 第 9 次高調波 108 k Hz 108kHz - 100kHz = 8kHz 10 : 第 10 高調波 120kHz 120kHz - 100kHz = 20kHz 平均ノイズ フロア f S /2 周波数 (khz) 10 0 f S

34 ダイナミック性能 : エイリアス ( 折り返し波形 ) の実態 エイリアスとはサンプリング周波数と信号周波数の差によるビート波形 信号周波数に対してサンプリング レート ( 単位は Hz) が 2 倍以下をアンダー サンプリング サンプリング周波数と信号周波数の差によって発生する波形 ( ビート ) をエイリアス エイリアシングによって信号周波数がビートとして低周波領域に発生することを折り返す と呼ぶ アンダー サンプリングとエイリアス エイリアスの実測波形 f S =100kHz サンプリング点 信号 120kHz エイリアス ( ビート ) 34 34

35 ダイナミック性能 :A/D のダイナミック特性に関する計算式 2 SNR: S/N 比のことで正弦波実効値電力とノイズ実効値電力との比. SNR=10log 正弦波信号電力ノイズ電力 (dbc) 式 THD: 全高調波歪率. 規定の次数までの高調波成分の実効値電力の合計と, 正弦波実効値電力との比. THD = 10log 全高調波電力正弦波信号電力 (dbc) 式 SINAD: 正弦波実効値電力と ( ノイズ +THD) 実効値電力との比. ダイナミック特性の中では A/D にとって最も厳しいスペック. SINAD=10log 正弦波電力ノイズ+ 高調波電力 (dbc) 式 5-11 < 参考 > IMD: 相互変調歪.2 つの周波数が接近した信号により A/D の内部で干渉し合って発生. 相互変調積の実効値電力と正弦波実効値電力との比. IMD=10log 最大相互変調積電力正弦波信号電力 (dbc) 式

36 A/D コンバータのスペック : まとめとして A/D の概念はデジタル出力のオペアンプ オペアンプ回路と共通な... オフセット, ゲイン, 直線性, ノイズ, 帯域幅, 歪 などの特性を持ち, プラスして NMC,DLE, アパチャー といった, いくつかのコンバータ特有のスペックを持つ. Amp Encoder 数値化 十分な Bit サイズ そして CPU がアナログ世界を覗くガラス窓 A/D CPU 高い透明度でゆがみがないこと 36 36

37 セッション 7 終わり お疲れ様でした

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

オーバーサンプリングによる ADC12 の高分解能

オーバーサンプリングによる ADC12 の高分解能 www.tij.co.jp アプリケーション レポート JAJA088-2007 年 8 月 ADC12 オーバーサンプリングによる高分解能の実現 Harman Grewal ( 日本テキサス インスツルメンツ ( 株 ) 菅原仁 訳 ) MSP430 まえがきこのアプリケーション レポートでは オーバーサンプリング手法により ADコンバータ (ADC) が提供するビット数よりも高い分解能を実現する方法を説明します

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

Ver.1-5 Date レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 神奈川県相模原市中央区相模原 TEL: FAX:

Ver.1-5 Date レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 神奈川県相模原市中央区相模原 TEL: FAX: Ver.1-5 Date 2014.11.11 レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 252-0231 神奈川県相模原市中央区相模原 6-2-18 TEL:042-769-7873 FAX:042-769-7874 目 次 1. 概要... 2 2. 特長... 2 3. 用途... 2 4. 仕様...

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft Word - NJM2718_DataJ_v1.doc

Microsoft Word - NJM2718_DataJ_v1.doc 2 回路入り高耐圧単電源オペアンプ 概要 NJM2718 は 2 回路入り単電源高速オペアンプです 動作電圧は 3V~36V と広範囲でスルーレート 9V/µs の高速性と入力オフセット電圧 4mV の特徴をもっており ローサイド電流検出に適しております また 容量性負荷に対して安定しておりますので FET 駆動等のプリドライバ用途やバッファ用途等に適しております 外形 NJM2718E NJM2718V

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 となるように半固定抵抗器を調整する ( ゼロ点調整のため ) 図 1 非反転増幅器 2010 年度版物理工学実験法

More information

PicoScope 4262 Data Sheet

PicoScope 4262 Data Sheet PicoScope 4262 高分解能 USB オシロスコープ アナログ ワールドのためのデジタル オシロスコープ 小さなノイズ 2 チャンネルバッファ :16M ポイント分解能 :16 ビットサンプリング :10MS/s 周波数帯域 :5MHz 拡張デジタル トリガ歪みの少ないシグナル ジェネレータ任意波形ジェネレータ USB パワー 16 ビット サンプル プログラムを含む SDK を標準装備

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

Tina-TIデモ・ガイド

Tina-TIデモ・ガイド Let s learn Signal Chain セッション 2:TINA-TI によるオペアンプ動作の確認 R 14 R 15 Ratio-metric Biasing Circuit Ver.-2 A6 R 13 REF Buffer C 5 R 7 R 8 C 2 A1 R 3 R 4 A4 R 11 REF IN+ VS+ R G R 1 R 2 A3 R 9 Scaling R 10 R

More information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information The World Leader in High Performance Signal Processing Solutions SPICE ツールで適切な周波数特性と異常発振しない OP アンプ回路を実現する 基礎編 アナログ デバイセズ株式会社石井聡 1 アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力を用意 密着取付可能 アプリケーション例 容積式流量計のパルス信号を単位パルスに変換 機械の回転による無接点信号を単位パルスに変換

More information

Microsoft PowerPoint - 計測2.ppt [互換モード]

Microsoft PowerPoint - 計測2.ppt [互換モード] Ⅱ データ変換と信号処理 1. アナログとデジタル 5. 周波数解析 2. オペアンプ 5.2 離散フーリエ変換 2.1 加算 減算回路 5.3 窓関数 2.2 微分 積分回路 6. ラプラス変換とz 変換 3. 変換器 ( アナログ入出力 ) 6.1 ラプラス変換 6.2 z 変換 3.3 サンプル ホールド回路 7. 信号処理 3.4 アナログ マルチプレクサ 7.1 不規則信号 4. データ変換

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2

アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2 The World Leader in High Performance Signal Processing Solutions FPGA 時代の高速データ コンバータのクロッキング アナログ デバイセズ株式会社 アナログ デバイセズ株式会社石井聡 アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

オペアンプの容量負荷による発振について

オペアンプの容量負荷による発振について Alicatin Nte オペアンプシリーズ オペアンプの容量負荷による発振について 目次 :. オペアンプの周波数特性について 2. 位相遅れと発振について 3. オペアンプの位相遅れの原因 4. 安定性の確認方法 ( 増幅回路 ) 5. 安定性の確認方法 ( 全帰還回路 / ボルテージフォロア ) 6. 安定性の確認方法まとめ 7. 容量負荷による発振の対策方法 ( 出力分離抵抗 ) 8. 容量負荷による発振の対策方法

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

Keysight U8903Aオーディオ・アナライザ

Keysight U8903Aオーディオ・アナライザ Keysight U8903A Data Sheet U8903A U8903A SINAD AC DC FFT AES3/SPDIF Digital Serial Interface IC U8903A AES3/ SPDIF DSI 1.2 V 3.3 V DSI DSI I 2 S Left Justified Right Justified DSP 1 S/NSINAD IMD DFD THD

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

Microsoft PowerPoint - 第06章振幅変調.pptx

Microsoft PowerPoint - 第06章振幅変調.pptx 通信システムのモデル コミュニケーション工学 A 第 6 章アナログ変調方式 : 振幅変調 変調の種類振幅変調 () 検波出力の信号対雑音電力比 (S/N) 送信機 送信メッセージ ( 例えば音声 ) をアナログまたはディジタル電気信号に変換. 変調 : 通信路で伝送するのに適した周波数帯の信号波形へ変換. 受信機フィルタで邪魔な雑音を除去し, 処理しやすい電圧まで増幅. 復調 : もとの周波数帯の電気信号波形に変換し,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2017 年度 v1 1 機械工学実験実習 オペアンプの基礎と応用 オペアンプは, 世の中の様々な装置の信号処理に利用されています本実験は, 回路構築 信号計測を通し, オペアンプの理解をめざします オペアンプの回路 ( 音楽との関連 ) 入力信号 機能 - 振幅の増幅 / 低減 ( 音量調整 ) - 特定周波数の抽出 ( 音質の改善 ) - 信号の合成 ( 音の合成 ) - 信号の強化 ( マイクに入力される微弱な音信号の強化

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

スライド 1

スライド 1 劣化診断技術 ビスキャスの開発した水トリー劣化診断技術について紹介します 劣化診断技術の必要性 電力ケーブルは 電力輸送という社会インフラの一端を担っており 絶縁破壊事故による電力輸送の停止は大きな影響を及ぼします 電力ケーブルが使用される環境は様々ですが 長期間 使用環境下において性能を満足する必要があります 電力ケーブルに用いられる絶縁体 (XLPE) は 使用環境にも異なりますが 経年により劣化し

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

dsA4

dsA4 データシート DDS ファンクションジェネレータ 1 μhz 5 MHz/ 10 MHz/ 25 MHz / 50 MHz 2 チャンネル出力 任意波形機能付 は安定した高精度の正弦波 方形波 三角波 パルスならびに任意波形を生成する DDS (Direct Digital Synthesis) 方式の 2 チャンネル任意波形機能付ファンクションジェネレータです 視認性の高いカラー ディスプレイとキーパッドから直感的なユーザ

More information

クレスト ファクタ : フル スケールにおいて3:1 : 各レンジ 周波数範囲において ( 追加誤差を含むの1/10)/ ACV V COM 300mV μV 1MΩ±2%, 140pF 以下

クレスト ファクタ : フル スケールにおいて3:1 : 各レンジ 周波数範囲において ( 追加誤差を含むの1/10)/ ACV V COM 300mV μV 1MΩ±2%, 140pF 以下 性能諸元特に規定のない場合 温度 23 ±5 湿度 85%RH( 抵抗測定 20MΩ 以上 ロー パワー抵抗測定 2MΩ 以上では 75%RH) 以下において 1 年間保証 :4½ 表示の場合は digit 項が 1/10 となります 直流電圧測定 (DCV) 3 V COM 100mV 119.9999 100nV 1GΩ 以上 /10MΩ±1% 0.0030+30.0 0.0040+35.0 0.0040+35.0

More information

dsA4

dsA4 データシート DDS ファンクションジェネレータ 1 μhz 80 MHz / 120 MHz / 160 MHz 2 チャンネル出力 任意波形機能付 は安定した高精度の正弦波 方形波 三角波 パルスならびに任意波形を生成する DDS (Direct Digital Synthesis) 方式の 2 チャンネル任意波形機能付ファンクションジェネレータです 視認性の高いカラー ディスプレイとキーパッドから直感的なユーザ

More information

フォトダイオードモジュール C10439 シリーズ 精密測光用フォトダイオードと低ノイズアンプを一体化 フォトダイオードモジュール C10439 シリーズは フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます ま

フォトダイオードモジュール C10439 シリーズ 精密測光用フォトダイオードと低ノイズアンプを一体化 フォトダイオードモジュール C10439 シリーズは フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます ま 精密測光用フォトダイオードと低ノイズアンプを一体化 は フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます また本製品には / 2 レンジ切り替え機能が付いています 検出する光量に応じて適切なレンジ選択を行うことで 高精度な出力を得ることができます 特長 電圧出力のため取り扱いが簡単 / 2レンジ切り替え機能付き小型

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

画像処理工学

画像処理工学 画像処理工学 画像の空間周波数解析とテクスチャ特徴 フーリエ変換の基本概念 信号波形のフーリエ変換 信号波形を周波数の異なる三角関数 ( 正弦波など ) に分解する 逆に, 周波数の異なる三角関数を重ねあわせることにより, 任意の信号波形を合成できる 正弦波の重ね合わせによる矩形波の表現 フーリエ変換の基本概念 フーリエ変換 次元信号 f (t) のフーリエ変換 変換 ( ω) ( ) ωt F f

More information

PowerPoint Presentation

PowerPoint Presentation The World Leader in High Performance Signal Processing Solutions 最近のプリント基板で生じがちなトラブル対策に必要な知識 アナログ デバイセズ株式会社石井聡 2014 年 12 月 13 日 アジェンダ 1. 回路実現でトラブルを生じさせない 基本中の基本 2. 最近注意すべきトラブル 基板上 ( 層間 ) で生じる容量 3. マイコン回路とAD

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

推奨条件 / 絶対最大定格 ( 指定のない場合は Ta=25 C) 消費電流絶対最大定格電源電圧 Icc 容量性負荷出力抵抗型名 Vcc Max. CL 電源電圧動作温度保存温度 Zo (V) 暗状態 Min. Vcc max Topr* 2 Tstg* 2 Min. Max. (ma) (pf)

推奨条件 / 絶対最大定格 ( 指定のない場合は Ta=25 C) 消費電流絶対最大定格電源電圧 Icc 容量性負荷出力抵抗型名 Vcc Max. CL 電源電圧動作温度保存温度 Zo (V) 暗状態 Min. Vcc max Topr* 2 Tstg* 2 Min. Max. (ma) (pf) 精密測光用フォトダイオードと低ノイズアンプを一体化 フォトダイオードモジュール は フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます また本製品には High/Low 2 レンジ切り替え機能が付いています 検出する光量に応じて適切なレンジ選択を行うことで 高精度な出力を得ることができます 特長 用途 電圧出力のため取り扱いが簡単

More information

STAGEPAS 1K

STAGEPAS 1K 1.5 インチ x10 基のラインアレイスピーカー 12 インチのサブウーファー 1000W アンプ 5 チャンネルデジタルミキサーを搭載した Bluetooth 接続 SPX デジタルリバーブ 1-Knob を搭載 一般仕様 システムタイプ パワードサブウーファー +ラインアレイスピーカー 再生周波数帯域 ( -1 0 d B) 37Hz 20kHz 公称指向角度 ( 水平 垂直 ) 170 30

More information

線形システム応答 Linear System response

線形システム応答 Linear System response 画質が異なる画像例 コントラスト劣 コントラスト優 コントラスト普 鮮鋭性 普 鮮鋭性 優 鮮鋭性 劣 粒状性 普 粒状性 劣 粒状性 優 医用画像の画質 コントラスト, 鮮鋭性, 粒状性の要因が互いに密接に関わり合って形成されている. 比 鮮鋭性 コントラスト 反 反 粒状性 増感紙 - フィルム系での 3 要因の関係 ディジタル画像処理系でもおよそ成り立つ WS u MTFu 画質に影響する因子

More information

スライド 1

スライド 1 電気情報通信学会 変調 ADC を用いたモータ駆動用 ディジタル信号処理方式の検討 群馬大学 : 小堀 古谷 山田 佐藤 田浦 森 光野 小林 ( 和 ) 小林 ( 春 ) ルネサステクノロジ : 鴻上 黒岩 黒澤 1 背景 1. 背景と目的 2. 回路構成と提案方式 3. 変調 ADCとディジタル制御方式 4. リア デシメーションフィルタ方式 5. シミュレーション結果 6. 結論 2 Areal

More information

MUSES01 2 回路入り J-FET 入力高音質オペアンプ ~ 人の感性に響く音を追求 ~ 概要 MUSES01 は オーディオ用として特別の配慮を施し 音質向上を図った 2 回路入り JFET 入力高音質オペアンプです 低雑音 高利得帯域 低歪率を特徴とし オーディオ用プリアンプ アクティブフ

MUSES01 2 回路入り J-FET 入力高音質オペアンプ ~ 人の感性に響く音を追求 ~ 概要 MUSES01 は オーディオ用として特別の配慮を施し 音質向上を図った 2 回路入り JFET 入力高音質オペアンプです 低雑音 高利得帯域 低歪率を特徴とし オーディオ用プリアンプ アクティブフ 回路入り J-FET 入力高音質オペアンプ ~ 人の感性に響く音を追求 ~ 概要 は オーディオ用として特別の配慮を施し 音質向上を図った 回路入り JFET 入力高音質オペアンプです 低雑音 高利得帯域 低歪率を特徴とし オーディオ用プリアンプ アクティブフィルター ラインアンプ等に最適です 外形 特徴 動作電源電圧 Vopr= ~ ±V 低雑音 9.nV/ Hz typ. @f=khz 入力オフセット電圧

More information

<4D F736F F F696E74202D20452D335F F F815B C982E682E CD91AA92E882CC8AEE916282C6899E97705F46205B8CDD8AB B83685D>

<4D F736F F F696E74202D20452D335F F F815B C982E682E CD91AA92E882CC8AEE916282C6899E97705F46205B8CDD8AB B83685D> E-3 パワーアナライザによる電 測定の基礎と応 宮崎強 パワー アナライザのアプリケーション 電力変換 発電 インバータ モーター駆動回路 電動推進力 無停電電源 (UPS) 周波数変換 電気自動車 / ハイブリッド自動車 高効率照明 民生機器 / 事務機器 産業機器 医療機器 待機電力 2 AC 理論の基礎 RMS(Root Mean Square Value) 実効値 抵抗 R に流れる電流

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

スライド 1

スライド 1 アナログ検定 2014 1 アナログ検定 2014 出題意図 電子回路のアナログ的な振る舞いを原理原則に立ち返って解明できる能力 部品の特性や限界を踏まえた上で部品の性能を最大限に引き出せる能力 記憶した知識や計算でない アナログ技術を使いこなすための基本的な知識 知見 ( ナレッジ ) を問う問題 ボーデ線図などからシステムの特性を理解し 特性改善を行うための基本的な知識を問う問題 CAD や回路シミュレーションツールの限界を知った上で

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

Microsoft Word - SPARQアプリケーションノートGating_3.docx

Microsoft Word - SPARQアプリケーションノートGating_3.docx SPARQ を使用したフィクスチャの S パラメータ抽出 TECHNICAL BRIEF 伊藤渉 Feb 3, 2014 概要 SMA や K コネクタ等ではない非同軸タイプのコネクタを使用する DUT をオシロスコープで測定するにはコネクタの変換の為にフィクスチャを使用します このフィクスチャの伝送特性を差し引き DUT のみの特性を求めたい場合 フィクスチャの伝送特性を抽出することは通常では困難です

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

NJW4124 IC ( ) NJW4124 AC-DC 1cell/2cell IC / 1 NJW4124M / Bi-CMOS NJW4124M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 V

NJW4124 IC ( ) NJW4124 AC-DC 1cell/2cell IC / 1 NJW4124M / Bi-CMOS NJW4124M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 V IC ( ) AC-DC 1cell/2cell IC / 1 M / Bi-CMOS M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 VREF ADP 6 15 V + 7 14 TDET 8 13 TH C1 9 12 TL C2 10 11 CHG-SW M - 1 - (Ta=25 C) V

More information

(1). 長期的な安定した電力測定でなければならない 不安定な電力測定を行わなければならない場合 IEC は安定した測定結果を得るために長い時間の測定を許しています 汎用パワーアナライザは 被測定物の不安定性な要因を予定していません 高性能のパワーアナライザであれば 短期間の測定時間で測定安定性を得

(1). 長期的な安定した電力測定でなければならない 不安定な電力測定を行わなければならない場合 IEC は安定した測定結果を得るために長い時間の測定を許しています 汎用パワーアナライザは 被測定物の不安定性な要因を予定していません 高性能のパワーアナライザであれば 短期間の測定時間で測定安定性を得 アプリケーション 対象機種 N4L 社製パワーアナライザ PPA5530HC PPA5500 / LC PPA1500 / HC PPA500 / HC 待機電力測定 IEC62301(11 ページ )2012.07.30 待機モードで電子機器の無駄な電力損失の割合は 5-15% ほどあります 無駄な消費電力は 環境コストの国際的な考えのもと 国際的な規格が設けられており 電子製品の生産者もこれを遵守しています

More information

AD7266: 差動/シングルエンド入力、デュアル 2 MSPS、12 ビット、3 チャンネル逐次比較型 A/D コンバータ

AD7266: 差動/シングルエンド入力、デュアル 2 MSPS、12 ビット、3 チャンネル逐次比較型 A/D コンバータ 2MSPS 12 3 A/D AD7266 12 3A/D 2MSPS V DD 2.7 5.25V 3V1.5MSPS 9mW 5V2MSPS 27mW 12 6 6 50kHz S/N 70dB 2.5V 250.2% max20ppm/max 437.5ns 32MHz SCLK SPI QSPI MICROWIRE DSP 40125 1µA max 32LFP TQFP 1MSPSAD7265

More information

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える 共振回路 概要 回路は ラジオや通信工学 などに広く使われる この回路の目的は 特定の周波数のときに大きな電流を得ることである 使い方には 周波数を設定し外へ発する 外部からの周波数に合わせて同調する がある このように 周波数を扱うことから 交流を考える 特に ( キャパシタ ) と ( インダクタ ) のそれぞれが 周波数によってインピーダンス *) が変わることが回路解釈の鍵になることに注目する

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

p.3 p 各種パラメータとデータシート N Package Power Dissipation 670mW ( N Package)

p.3 p 各種パラメータとデータシート N Package Power Dissipation 670mW ( N Package) p.1 p.2 3. オペアンプ回路の基礎 3.1.2 理想オペアンプ Vcc A: Open Loop Gain 3.1 オペアンプとは ~ 計測基礎回路 ~ 1 2 Zin Zout =A(12) Vcc 理想条件下のオペアンプは上記のような等価回路として考えることができる 1. 2. 3. 4. 一般的な回路記号 新 JIS 記号 5. 6. 市販製品外観例 内部の構成回路例 (NJM4580DD)

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載 S MLD-030-ST ブラシレス DC モータドライバ コネクター定義 マーク 定義 DC+/DC- DC 電源入力 (DC24~DC48),, モータリード線 Hu,Hv,Hw ホール素子リード線 REF+ ホール素子電源 (+) REF- ホール素子電源 (-) S 外部ポテションメータ ( 内蔵ポテションメータにてスピード調整時は接続なし ) 又は記述 1 のパルスレート COM コモングラウンド

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

ミニ分光器 MS シリーズ C10988MA-01 C11708MA MEMS 技術とイメージセンサ技術を融合した超小型のミニ分光器 ミニ分光器 MSシリーズは MEMS 技術とイメージセンサ技術を融合し 親指大の超小型サイズ ( mm) を実現したモバイル測定機器組み込み用

ミニ分光器 MS シリーズ C10988MA-01 C11708MA MEMS 技術とイメージセンサ技術を融合した超小型のミニ分光器 ミニ分光器 MSシリーズは MEMS 技術とイメージセンサ技術を融合し 親指大の超小型サイズ ( mm) を実現したモバイル測定機器組み込み用 MEMS 技術とイメージセンサ技術を融合した超小型の MSシリーズは MEMS 技術とイメージセンサ技術を融合し 親指大の超小型サイズ (27.6 16.8 13 mm) を実現したモバイル測定機器組み込み用の分光器ヘッドです 入射スリットと一体のCMOSイメージセンサを使用し 光学系として凸面レンズにナノインプリントでグレーティングを形成することで従来のRCシリーズに比べて1/3 以下の体積を実現しています

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

AD5933: 1 MSPS、12 ビット・インピーダンス・コンバータネットワーク・アナライザ

AD5933: 1 MSPS、12 ビット・インピーダンス・コンバータネットワーク・アナライザ 1MSPS 12 AD5933 1kHzto I 2 C 27.1Hz 1Ω 1MΩ 2.5 2.7 5.5V 4125 16SSOP AD5933 1MSPS 12A/D ADC ADC DSPDFT DFTR I 2 1. Tan 1 (I/R) AD5934 2 2 R + I 2.7 5.5V 25kSPS 12 16SSOP MCLK AVDD DVDD DAC R OUT VOUT SCL

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

LTC ビット、100ksps、サンプリングADC

LTC ビット、100ksps、サンプリングADC BUSY ±V INPUT Ω.k V IN CAP REF V k BUFFER k AGND 8 7 V DIG V ANA k k REFERENCE AGND 6-BIT SAMPLING ADC DGND CONTROL LOGIC AND TIMING D TO D BUSY CS R/C BYTE 6 TA 6 TO TO 6 µf.µf DIGITAL CONTROL SIGNALS

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

<4D F736F F D D834F B835E5F8FDA8DD C E646F63>

<4D F736F F D D834F B835E5F8FDA8DD C E646F63> 情報電子実験 Ⅲ 2008.04 アナログフィルタ 1.MultiSIM の起動デスクトップのアイコンをクリックまたは [ スタート ]-[ すべてのプログラム ] より [National Instruments]-[Circuit Design Suite 10.0]-[Multisim] を選択して起動する 図 1 起動時の画面 2. パッシブフィルタ (RC 回路 ) の実験 2-1. 以下の式を用いて

More information

. 回路定数の決め方. トランス インピーダンス ゲインを決める p R 00k 5 IG 0p R 00M - F U OPA656 5 フォト ダイオードの等価回路 や,R の値は, フォトダイオードのデータシートから判断します. 図 一般的なトランス インピーダンス アンプ 図 に一般的なトラ

. 回路定数の決め方. トランス インピーダンス ゲインを決める p R 00k 5 IG 0p R 00M - F U OPA656 5 フォト ダイオードの等価回路 や,R の値は, フォトダイオードのデータシートから判断します. 図 一般的なトランス インピーダンス アンプ 図 に一般的なトラ www.tij.co.jp JAJA098 トランス インピーダンス アンプ設計の基礎 川田章弘 Field Application & Solutions, Analog Signal hain アブストラクト 本アプリケーション レポートは, 初めてトランス インピーダンス アンプを設計する人のために, 回路定数を決定する方法とアンプの雑音レベル, および回路の安定性について検討する方法を解説するものです.

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

形式 :WJPAD 絶縁 2 出力計装用変換器 W UNIT シリーズ 本製品は生産中止となりました 代替機種として WJPAD2 をご検討下さい パルスアナログ変換器 ( センサ用電源付 スペックソフト形 ) 主な機能と特長 パルス入力信号を直流出力信号に変換 センサ用電源内蔵 無電圧接点パルス

形式 :WJPAD 絶縁 2 出力計装用変換器 W UNIT シリーズ 本製品は生産中止となりました 代替機種として WJPAD2 をご検討下さい パルスアナログ変換器 ( センサ用電源付 スペックソフト形 ) 主な機能と特長 パルス入力信号を直流出力信号に変換 センサ用電源内蔵 無電圧接点パルス 絶縁 2 出力計装用変換器 W UNIT シリーズ 本製品は生産中止となりました 代替機種として WJPAD2 をご検討下さい パルスアナログ変換器 ( センサ用電源付 スペックソフト形 ) 主な機能と特長 パルス入力信号を直流出力信号に変換 センサ用電源内蔵 無電圧接点パルス 電圧パルスまたは 2 線式電流パルス用を用意 周期的に周波数が変化する不等速パルスの補正可能 4 ポート絶縁 密着取付可能

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

Arduino 用電界強度計シールド組み立て説明書 この電界強度計は Analog Devices 社のログ アンプ AD8307 を使い 入力を 50Ωにマッチングさせ その出力を OP アンプで受けて Arduino の A/D コンバータで読み取り LCD ディスプレイに表示しています AD8

Arduino 用電界強度計シールド組み立て説明書 この電界強度計は Analog Devices 社のログ アンプ AD8307 を使い 入力を 50Ωにマッチングさせ その出力を OP アンプで受けて Arduino の A/D コンバータで読み取り LCD ディスプレイに表示しています AD8 Arduino 用電界強度計シールド組み立て説明書 この電界強度計は Analog Devices 社のログ アンプ AD8307 を使い 入力を 50Ωにマッチングさせ その出力を OP アンプで受けて Arduino の A/D コンバータで読み取り LCD ディスプレイに表示しています AD8307 の特長をデータシートで見ると 完全多段 LOG アンプ 92 db のダイナミック レンジ :-75

More information

MAX1420 DS rev1.J

MAX1420 DS rev1.J 19-1981; Rev 1; 5/4 EALUATION KIT AAILABLE Functional diagram appears at end of data sheet. µ PART TEMP RANGE PIN-PACKAGE CCM C to +7 C 48 TQFP ECM -4 C to +85 C 48 TQFP INP INN 1 2 3 4 5 6 7 8 9 1 11

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用 アナログ回路 I 参考資料 2014.04.27 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用いて再現することである 従って LTspice の使用方法などの詳細は 各自で調査する必要があります

More information

Microsoft PowerPoint - 受信機.ppt[読み取り専用]

Microsoft PowerPoint - 受信機.ppt[読み取り専用] 受信機 1. 直線受信機 2. スーパヘテロダイン受信機 受信機 1.AM 受信機 DSB 受信機 SSB 受信機 2.FM 受信機 高周波増幅器 アンテナで受信した希望周波数 f s を増幅する 周波数変換回路 混合器と局部発振器からなり 高周波増幅された信号を中間周波数に変換する 局部発振器 スーパヘテロダイン受信機の局部発信周波数は受信周波数より中間周波数だけ高く ( 低く ) 設定する 混合器

More information

計測コラム emm182号用

計測コラム emm182号用 計測コラム emm182 号用 計測に関するよくある質問から - 第 9 回パワースペクトル密度の計算方法 当計測コラムでは 当社お客様相談室によくお問い合わせいただくご質問をとりあげ 回答内容をご紹介しています 今回は FFT 解析により得られたパワースペクトルからパワースペクトル密度 (PSD) を計算する方法をご紹介します ランダム信号などの周期的ではない信号 ( 連続スペクトルをもつ信号 )

More information

Microsoft Word - TA79L05_06_08_09_10_12_15_18_20_24F_J_P11_070219_.doc

Microsoft Word - TA79L05_06_08_09_10_12_15_18_20_24F_J_P11_070219_.doc 東芝バイポーラ形リニア集積回路シリコンモノリシック TA79L05F,TA79L06F,TA79L08F,TA79L09F,TA79L10F, TA79L12F,TA79L15F,TA79L18F,TA79L20F,TA79L24F 5, 6, 8, 9, 10, 12, 15, 18, 20, 24 三端子負出力固定定電圧電源 特長 TTL C 2 MOS の電源に最適です 外付け部品は不要です

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information