PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 Page: 1 Lattice-XO2 基板設計時資料

2 はじめに 本資料は Lattice 社 XO2 の基板設計時の注意事項 使用時の注意事項をまとめたものです 実際の動作等詳細 最終の確認は 別途データシート テクニカルノートを参照頂けるようお願い申し上げます Lattice 社データシートと本資料との間に差異があった場合には Lattice 社データシートを正としお取り扱い下さい Page: 2

3 目次 ページ 1. 電源ピンの処理 1-1. 電源ピン説明 注意事項 6 2. I/Oピンの処理 2-1. I/O Bank の構成 クロック専用ピン PLL 専用ピン 対応可能なI/OのI/F 一覧 ~シングルエンドI/O~ 対応可能なI/OのI/F 一覧 ~ 差動 I/O~ サポートする標準入力 I/Fについて プルアップ / プルダウンの抵抗値 Dual-Purpose I/Oのプルアップ / プルダウン Power-ON 時のI/Oの挙動 ドライブ能力について 差動 LVDS I/F 対応について その他差動 I/Fについて 同時スイッチングによるノイズの影響 DDR 関連の処理 対応について CONFIGピンの処理 3-1. Dual-Purpose I/Oについて 注意事項 その他 I/Oポート処理全般 参考回路 1SDMモード まとめ 4-1. バージョン管理 38 Page: 3

4 Page: 4 XO2 の電源ピンについて

5 1-1. 電源ピン説明 ピン名電圧 (V) 備考 Vcc( 専用ピン ) 1.2 (±5%) ZE / HE デバイスコア用電源 2.5 / 3.3 (±5%) HC デバイスコア用電源 GND( 専用ピン ) - グランドピン VCCIO( 専用ピン ) 1.2/1.5/1.8/2.5/3.3 (±5%) 各バンク毎の I/O 電源使用するインターフェースによってバンク毎に入力する電源を決定します 注 :1ZE/HE デバイスで VCCIO が 1.2V の場合 或いは HC デバイスで VCCIO が 2.5V / 3.3V でコアと同じ場合は VCC と同じ電源にそれぞれ接続する 2 未使用 I/O バンクの VCCIO は VCC に接続する 3I/O バンク 0 はコンフィグレーション関連ピンを含むバンク Page: 5

6 1-2. 電源に関する注意事項 電源シーケンス制御は必要ありません デバイス起動に関しては問題ありませんが Vccio を先に立ち上げないと不定ドライブをしてしまう可能性があります 電源のランプレートは下記の条件を満たしてください 電源のランプレートは単調増加である必要があります 電源オン オフ ( パワーサイクリング ) 時などの残留電圧 デバイスが動作中に VCC 電源が瞬断などで一時的に低下した場合 或いは意図的にオフとオンの操作 / 制御 ( パワーサイクリング ) をする場合の要件です 電源が復帰した後の動作 ( 再コンフィグレーション ) を確実にするため Vcc は以下を満たすことを推奨いたします 時間 : 1usec 以上最低残留電圧値 : 0.6V 以下 Page: 6

7 XO2 の I/O Bank の概念及び 汎用 I/O について Page: 7

8 2-1. I/O Bank の構成 MachXO MachXO および MachXO のバンク構造 MachXO2-256 MachXO2-640 および MachXO のバンク構造 各バンクに VCCIO を 1 つずつ持っています True LVDS 出力に関しては TopBank[Bank 0] のみ対応可能です LVDS 入力に関してはすべての Bank で対応可能です ( ギアリングロジックを使用する場合 LVDS 送信は TopBank[Bank 0] LVDS 受信は BottomBank[Bank 2] の A/B ペアでのみ対応可能です ギアリングロジックの詳細は p 26 に記載があります ) 外部抵抗により LVDS LVPECL 等のエミュレーションは可能です Page: 8

9 2-2. クロック専用ピン PLL 専用ピン ピン名 [LOC]_GPLL[T,C]_IN 説明 GPLL へのクロック入力ピン PLL 未使用時は通常 I/O として使用可能 [LOC]_GPLL[T,C]_FB GPLL へのフィードバック入力ピン PLL 未使用自は通常 I/O として使用可能 PCLK[T,C]_[n:0] Global クロック入力ピン クロックピンとして使用しない場合 通常 I/O として使用可能 上記のピンは未使用時は OPEN で構いません [LOC] PLL のロケーション (L, R) [T/C] T(True) C(Complement) 差動の P/N 注意事項シングルエンドのクロックは 必ず T 側のピンにアサインしてください Page: 9

10 2-3 対応可能な I/O の I/F 一覧 ~ シングルエンド I/O~ 規格 バンク0(Top) バンク1 バンク2(Bottom) バンク PCI LVTTL33 LVTTL33 LVTTL33 LVTTL33 LVCMOS33 LVCMOS33 LVCMOS33 LVCMOS33 LVCMOS25 LVCMOS25 LVCMOS25 LVCMOS25 シングルエンドI/O LVCMOS18 LVCMOS18 LVCMOS18 LVCMOS18 LVCMOS15 LVCMOS15 LVCMOS15 LVCMOS15 LVCMOS12 LVCMOS12 LVCMOS12 LVCMOS12 SSTL25 2 SSTL25 2 SSTL25 2 SSTL25 2 SSTL18 2 SSTL18 2 SSTL18 2 SSTL18 2 HSTL18 2 HSTL18 2 HSTL18 2 HSTL PCI33 は MachXO2-640U と MachXO2-1200/U MachXO2-2000/U MachXO MachXO デバイスの Bottom バンクでのみ対応しています 2. SSTL Class II と HSTL Class II は入力にのみ対応しています Page: 10

11 2-4 対応可能な I/O の I/F 一覧 ~ 差動 I/O~ 規格 バンク0(Top) バンク1 バンク2(Bottom) バンク3-5 LVDS 出力 LVPECL33E 2 LVPECL33E 2 LVPECL33E 2 LVPECL33E 2 MLVDS25E 2 MLVDS25E 2 MLVDS25E 2 MLVDS25E 2 BLVDS25E 2 BLVDS25E 2 BLVDS25E 2 BLVDS25E 2 RSDS25E 2 RSDS25E 2 RSDS25E 2 RSDS25E 2 LVDS25E 2 LVDS25E 2 LVDS25E 2 LVDS25E 2 SSTL25D 出力 SSTL25D 出力 SSTL25D 出力 SSTL25D 出力 SSTL18D 出力 SSTL18D 出力 SSTL18D 出力 SSTL18D 出力 HSTL18D 出力 HSTL18D 出力 HSTL18D 出力 HSTL18D 出力 LVTTL33D 出力 LVTTL33D 出力 LVTTL33D 出力 LVTTL33D 出力 LVCMOS33D 出力 LVCMOS33D 出力 LVCMOS33D 出力 LVCMOS33D 出力 LVCMOS25D 出力 LVCMOS25D 出力 LVCMOS25D 出力 LVCMOS25D 出力 LVCMOS18D 出力 LVCMOS18D 出力 LVCMOS18D 出力 LVCMOS18D 出力 LVCMOS15D 出力 LVCMOS15D 出力 LVCMOS15D 出力 LVCMOS15D 出力 差動 I/O LVCMOS12D 出力 LVCMOS12D 出力 LVCMOS12D 出力 LVCMOS12D 出力 LVDS 入力 LVDS 入力 LVDS 入力 3 LVDS 入力 LVPECL33 入力 LVPECL33 入力 LVPECL33 入力 LVPECL33 入力 MLVDS25 入力 MLVDS25 入力 MLVDS25 入力 MLVDS25 入力 BLVDS25 入力 BLVDS25 入力 BLVDS25 入力 BLVDS25 入力 RSDS25 入力 RSDS25 入力 RSDS25 入力 RSDS25 入力 SSTL25D 入力 SSTL25D 入力 SSTL25D 入力 SSTL25D 入力 SSTL18D 入力 SSTL18D 入力 SSTL18D 入力 SSTL18D 入力 HSTL18D 入力 HSTL18D 入力 HSTL18D 入力 HSTL18D 入力 LVTTL33D 入力 LVTTL33D 入力 LVTTL33D 入力 LVTTL33D 入力 LVCMOS33D 入力 LVCMOS33D 入力 LVCMOS33D 入力 LVCMOS33D 入力 LVCMOS25D 入力 LVCMOS25D 入力 LVCMOS25D 入力 LVCMOS25D 入力 LVCMOS18D 入力 LVCMOS18D 入力 LVCMOS18D 入力 LVCMOS18D 入力 LVCMOS15D 入力 LVCMOS15D 入力 LVCMOS15D 入力 LVCMOS15D 入力 LVCMOS12D 入力 LVCMOS12D 入力 LVCMOS12D 入力 LVCMOS12D 入力 1. 真の LVDS 出力は MachXO2-640U MachXO2-1200/U MachXO2-2000/U MachXO MachXO の Top バンクで対応しています 2. エミュレート出力規格は規格名の後ろに E がついています 3. 7:1LVDS の入力は Bottom バンクでのみ対応しております Page: 11

12 2-5. サポートする標準入力 I/F について LVCMOS 及び LVTTL I/O タイプの電圧混在への対応 5V トレラント入力はサポートしておりません XO2 デバイスの入力バッファは Mixed Voltage 対応となっており VCCIO,VREF に依存せず 入力 I/F をとることが可能です (Mixed Voltage の使用方法につきましては p32 に記載がございます ) SSTLxx HSTL18 PCI33 をのぞく Vccio=1.2V の場合をのぞく 差動入力規格の電圧混在への対応 Page: 12

13 2-6. プルアップ / プルダウンの抵抗値 MachXO2 ではデバイスの動作モードやコンフィグレーション状態にかかわらず 内部で弱いプルアップ / プルダウン 状態では等価抵抗値が以下のように算出されます 従って 内部プル処理の論理レベルと反対のレベルにする必要がある場合は 以下の値を推奨します (LVCMOS, LVTTL など ) 内部処理が無い専用ピンにつける外部処理の抵抗値としては 4.7k~10kΩ を推奨します 或いはフェールセーフ的な観点や設計要件によって 内部プル処理と同じレベルで外部プル処理を行う場合も同様です Page: 13

14 2-7. Dual-Purpose I/O のプルアップ / プルダウン (1) 未書き込み XO2 デバイス SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : PROGRAMN Enabled Enabled Enabled Disabled Blank/Erase Device ピン名 機能 方向 内部処理 外部処理 PROGRAMN PROGRAMN 入力 弱いPull-up INITN I/O ユーザ定義 弱いPull-up DONE I/O ユーザ定義 弱いPull-up MCLK/CCLK SSPI 入力 弱いPull-up SN SSPI 入力 弱いPull-up SI/SPISI SSPI 入力 なし Pull-up/down SO/SOSPI SSPI 出力 なし Pull-up/down CSSPIN I/O ユーザ定義 弱いPull-up SCL I2C 双方向 なし Pull-up/down SDA I2C 双方向 なし Pull-up/down TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up JTAGENB I/O ユーザ定義弱いPull-down (2) コンフィグ兼用ピンの設定をデフォルトで生成したファイルが内部 Flashに書き込まれたXO2 デバイス (Diamond2.0の場合) SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : Disabled Enabled Disabled Disabled Disabled User Default Pattern in Flash ピン名 機能 方向 内部処理 外部処理 PROGRAMN I/O ユーザ定義弱いPull-down INITN I/O ユーザ定義弱いPull-down DONE I/O ユーザ定義弱いPull-down MCLK/CCLK I/O ユーザ定義弱いPull-down SN I/O ユーザ定義弱いPull-down SI/SPISI I/O ユーザ定義弱いPull-down SO/SOSPI I/O ユーザ定義弱いPull-down CSSPIN I/O ユーザ定義弱いPull-down SCL I/O ユーザ定義弱いPull-down SDA I/O ユーザ定義弱いPull-down TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up JTAGENB I/O ユーザ定義弱いPull-down 青枠はコンフィグモードで動作するピンを示しています 赤字は外部 pull-up/down が必要なピンを示しています Page: 14

15 2-7. Dual-Purpose I/O のプルアップ / プルダウン (3-1) コンフィグ兼用ピンの設定を変更して生成したファイルが内部 Flash に書き込まれた XO2 デバイス例 1 SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : PROGRAMN Enabled Disabled Enabled Disabled User Default Pattern in Flash ピン名 機能 方向 内部処理 外部処理 PROGRAMN PROGRAMN 入力 弱いPull-up INITN I/O ユーザ定義弱いPull-down DONE I/O ユーザ定義弱いPull-down MCLK/CCLK SSPI 入力 弱いPull-up SN SSPI 入力 弱いPull-up SI/SPISI SSPI 入力 なし Pull-up/down SO/SOSPI SSPI 出力 なし Pull-up/down CSSPIN I/O ユーザ定義弱いPull-down SCL I/O ユーザ定義弱いPull-down SDA I/O ユーザ定義弱いPull-down TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up JTAGENB I/O ユーザ定義弱いPull-down (3-2) コンフィグ兼用ピンの設定を変更して生成したファイルが内部 Flash に書き込まれた XO2 デバイス例 2 SDM_PORT: PROGRAMN_DONE JTAG_PORT: Enabled I2C: Slave SPI : Master SPI : Enabled Disabled Disabled User Pattern in Flash [User Selection (Not Default)] ピン名 機能 方向 内部処理 外部処理 PROGRAMN b PROGRAMN 入力弱いPull-up INITN I/O ユーザ定義弱いPull-down DONE b DONE 双方向弱いPull-up MCLK/CCLK I/O ユーザ定義弱いPull-down SN I/O ユーザ定義弱いPull-down SI/SPISI I/O ユーザ定義弱いPull-down SO/SOSPI I/O ユーザ定義弱いPull-down CSSPIN I/O ユーザ定義弱いPull-down SCL I2C 双方向 なし Pull-up SDA I2C 双方向 なし Pull-up TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up JTAGENB I/O ユーザ定義弱いPull-down 青枠はコンフィグモードで動作するピンを示しています 赤字は外部 pull-up/down が必要なピンを示しています Page: 15

16 2-7. Dual-Purpose I/O のプルアップ / プルダウン (3-3) コンフィグ兼用ピンの設定を変更して生成したファイルが内部 Flash に書き込まれた XO2 デバイス例 3 SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : PROGRAMN_DONE_INITN Disabled Disabled Disabled Disabled User Pattern in Flash [User Selection (Not Default)] ピン名 機能 方向 内部処理 外部処理 PROGRAMN PROGRAMN 入力 弱いPull-up INITN INITN 双方向 弱いPull-up DONE DONE 双方向 弱いPull-up MCLK/CCLK I/O ユーザ定義弱いPull-down SN I/O ユーザ定義弱いPull-down SI/SPISI I/O ユーザ定義弱いPull-down SO/SOSPI I/O ユーザ定義弱いPull-down CSSPIN I/O ユーザ定義弱いPull-down SCL I/O ユーザ定義弱いPull-down SDA I/O ユーザ定義弱いPull-down TDI I/O ユーザ定義弱いPull-down TDO I/O ユーザ定義弱いPull-down TCK I/O ユーザ定義弱いPull-down TMS I/O ユーザ定義弱いPull-down JTAGENB JTAGENB 入力 なし Pull-down 注意事項 JTAG_PORT を DISABLE にしたデータを書き込んだ場合 JTAGENB を外部から High にできなければ JTAG からの書込みが出来なくなるので注意が必要です JTAG_PORT を DISABLE にする場合には JTAGENB ピンは 0Ω 抵抗を GND 間に配置し かつプルアップ抵抗を実装出来るようにしておき 万が一の時は JTAG ポートをコンフィグに使用できるようにしておくことを推奨します 青枠はコンフィグモードで動作するピンを示しています 赤字は外部 pull-up/down が必要なピンを示しています Page: 16

17 2-8. Power-ON 時の I/O の挙動 Vcc = VPORUPEXT(1.5V ~ 2.1V) 電源 ON Vccio = VPORUP(0.9V ~ 1.06V) Configuration 完了 Vcc, Vccio 電源 ON~VPORUPまでの期間 Configuration 中 Configuration 完了後 コンフィグ兼用ピン状態 Blank/Erase Device (1) の状態 (p14) 開発ツール "Lattice Diamond" で設定している状態 (1) 未書き込みXO2デバイスの状態 (p14) (2), (3-1), (3-2),(3-3) の状態 (p14-p16) 通常 I/Oピン状態 Hiz, 内部プルダウン Hiz, 内部プルダウン 開発ツール "Lattice Diamond" で設定している状態 注意事項 Vcc は単調増加である必要があります XO2 デバイス起動前 / 起動時に I/O に電圧が印加されると 0 < VIN < VIH(MAX) の条件下では最大 1mA/ ピンの電流が流れる可能性があります データシート Hot Socketing Specifications をご参照下さい Page: 17

18 2-9. ドライブ能力について *XO2 DataSheet(sysIO Single-Ended DC Electrical Characteristics) より抜粋 上記の表のように XO2 のドライブ電流値は可変させることが可能です 設定した I/F によって 設定できる値は異なりますので データシートで御確認ください XO2 の I/O バッファーのドライブ可能電流は以下のような制約があります The average DC current drawn by I/Os between GND connections, or between the last GND in an I/O bank and the end of an I/O bank, as shown in the logic signal connections table shall not exceed n * 8mA, where n is the number of I/Os between bank GND connections or between the last GND in a bank and the end of a bank. Bank の GND 間にある I/O もしくは I/O Bank 内にある最後の GND から I/O Bank の端までにある I/O の本数において ( その本数 ) 8mA のドライブ電流を超えてはいけない 例えば XO のピンリストを見ますと右のようになっております 赤枠を見ますと GND GND 間の I/O の本数が 9 本となっております よって 8mA 9 本 =72mA となり 9 本の I/O は合計で最大 72mA までドライブすることができます (1 本当たりの最大は 24mA となります ) 次に青枠をご覧下さい GND から BANK 端の I/O までの本数を数えますと 4 本になっております よって 8mA 4 本 =32mA となり この 4 本の I/O は合計で最大 32mA までドライブすることができます 例えば この場合 Nol120 [PR2D] の I/O が 20mA ドライブする場合には 残り 3 本は合計 12mA までしかドライブすることができません PAD Pin Function Bank 1 PL2A 3 63 GND - 64 PB11D 2 65 NC - 66 PB11A 2 67 PB11B 2 68 PB15A 2 69 PB15B 2 70 PB15C 2 71 PB15D 2 72 PB18A 2 73 PB18B 2 74 NC - 75 GND GND NC VCCIO PR2D PR2C PR2B NC PR2A VCC PT17D 0 XO2_1200 ピンリスト (TQFP144 / CSBGA132 / TQFP100 / WLCSP25) Page: 18

19 2-9. ドライブ能力について Diamond の SpreadsheetView もしくは lpf ファイルにてドライブ能力の設定が可能です Page: 19

20 2-10. 差動 LVDS I/F 対応について 差動 LVDS 入力について 差動 LVDS 入力は Top,Bottom,Right,Left 全てのバンクで使用可能です 使用する I/O は必ず差動ペアにしてください < 例 > 右のピンリストの PL2A,/PL2B のような差動ペアをご使用ください Diamond の SpreadsheetView では True 側をアサインしてください 差動 LVDS 入力で使用する場合 外部に終端抵抗 100Ω が必ず必要になります (Bank2 のみ内部終端抵抗あり ) 差動 LVDS 入力としてアサインされた場合 内部 Pull-up が無効となります その為 ケーブルが外れるようなアプリケーションの場合には Floating 防止の為 外部でフェイルセーフ処理が必要になります ギアリングロジックを使用した LVDS の出力は Top バンクの A/B ペア 入力は Bottom バンクの A/B ペアのみ対応しております PAD Pin/Ball Bank Dual Function Differential 1 PL2A 3 L_GPLLT_FB True_OF_PL2B 2 NC PL2B 3 L_GPLLC_FB Comp_OF_PL2A 4 NC PL2C 3 L_GPLLT_IN True_OF_PL2D 6 PL2D 3 L_GPLLC_IN Comp_OF_PL2C 7 NC PL3A 3 PCLKT3_2 True_OF_PL3B 9 PL3B 3 PCLKC3_2 Comp_OF_PL3A 外部終端抵抗 100Ω 必須 Diamond の SpreadsheetView もしくは lpf ファイルにて LVDS25 を設定してください (*LVDS25E は使用しないで下さい ) XO2 Device Page: 20

21 2-10. 差動 LVDS I/F 対応について 差動 LVDS 出力について 差動 LVDS 出力は真の LVDS と擬似 LVDS の 2 つが存在します 真の LVDS 出力 - TopBank(Bank 0) バンクのみ対応しております ピンリストで確認した場合 High Speed の項目に TRUE の記述がある I/O が真の LVDS 対応 I/O です 使用する I/O は 差動入力と同じく差動ペアにして下さい - 真の LVDS で使用する場合 VCCIO には 3.3V もしくは 2.5V を印加する必要がございます Diamond の SpreadsheetView もしくは lpf ファイルにて I/O Type を LVDS25 に設定してください - 外付け抵抗は必要ありません ( 終端抵抗のみ必要 ) PAD Pin/Ball Bank Dual Function Differential High Speed 1 PL2A 3 L_GPLLT_FB True_OF_PL2B PT17C 0 INITN True_OF_PT17D PT17B 0 - Comp_OF_PT17A TRUE 130 NC PT17A 0 - True_OF_PT17B TRUE 132 PT16D 0 - Comp_OF_PT16C PT16C 0 - True_OF_PT16D - 擬似 LVDS 出力 (Emulated LVDS) - Top,Bottom,Left,Right 全てのバンクで擬似 LVDS 出力として使用できます - 使用する I/O は 差動入力と同じく差動ペアにして下さい ( 真の LVDS と違い TRUE の記述がありませんが問題ございません ) - 擬似 LVDS で使用する場合 VCCIO には 2.5V を印加する必要がございます 必然的に LVDS を使用するこのバンクは 2.5V インターフェイスでしか使用できませんのでご注意ください - 開発ツール上では SpreadsheetView もしくは lpf ファイルにて I/O Type を LVDS25E に設定してください ドライブ電流は 8mA にしてください - 外付け抵抗が必要になります 詳細は次ページをご覧下さい Page: 21

22 2-10. 差動 LVDS I/F 対応について この外付け抵抗は擬似 LVDS のときのみ必要となります 真の LVDS の時には必要ありません この終端抵抗は真の LVDS でも 擬似 LVDS でも必要になります (Bank2 には終端抵抗が内蔵しているため外付けの終端抵抗は不要です ) Page: 22

23 2-11. その他差動 I/F について 差動 BLVDS ブロック図 * 詳細はデータシートをご覧下さい Page: 23

24 2-11. その他差動 I/F について 差動 LVPECL ブロック図 * 詳細はデータシートをご覧下さい 差動 RSDS ブロック図 * 詳細はデータシートをご覧下さい Page: 24

25 2-12. 同時スイッチングによるノイズの影響 SSO 一般的に CPLD FPGA において同時にスイッチングする出力ピン及び 双方向ピンを一箇所にかためてピンアサインをすると 出力ピンが同時スイッチングする事による瞬時電流の変動でグラウンド バウンスが発生し 回路が誤動作を起こす恐れがあります SSO ノイズ対策として以下の項目をご検討下さい SSO ノイズを考慮した設計方法 出力ピンを同一 I/O バンク 一箇所にかためない 出力ピンの Slew Rate を Slow に設定する (Diamond にて設定可能 ) 出力先の負荷容量を小さくする SSO Analyzer による SSO ノイズ発生有無の確認 SSO Analyzer のユーザマニュアルにつきましては 以下 URL にございます Page: 25

26 2-13. DDR 関連の処理 対応について ギアリングロジックプログラマブル I/O(PIO) セルに組み込まれているハードマクロです 高速汎用 DDR インターフェイスや 7:1LVDS のシリパラ / パラシリに使用されます MachXO2 デバイスでは I/O バンクの位置と論理規模に応じて 4 種類のギアリング比を設定できます ( 詳細は TN1203 TN1246 に記載がございます ) ギアリングロジックが使用できる Bank について (MachXO2-640U 1200/U ) 上記表で Yes と記載されている Bank にてギアリングロジックを使用することが可能です DDRx1 は全ての規模の MachXO2 デバイスで利用可能です Page: 26

27 XO2 のコンフィグレーションについて Page: 27

28 3-1. Dual-Purpose I/O について sysconfig ピンのうち Configuration 後に UserI/O としても使用できるピンを Dual Purpose ピンと呼んでいます 次ページの処理を行うことにより User I/O として使用する事が可能です ピン名方向機能 JTAGENB I Diamond の SpreadsheetView にて JATAG_PORT の設定を DISABLE にした場合 JTAGENB ピンの High/Low の制御により JTAG 専用ピンを汎用 I/O として切り替えて使用することが可能です Low :TDI, TDO, TMS, TCK は汎用 I/O として機能します High :TDI, TDO, TMS, TCK は JTAG 専用ピンとして機能します JTAG_PORT の設定を ENABLE に設定している場合 JTAGENB ピンは汎用 I/O となります PROGRAMN I PROGRAMNに印加される信号がHighからLowに遷移すると デバイスがコンフィグレーションモードになります デバイスのコンフィグレーション中には PROGRAMNピンをトグルしないでください ( 常時はPull-up しておきます ) INITN I/O SRAMのコンフィグレーション中にLowが出力されると SRAMの初期化中であることを表し またHighになるとデバイスがコンフィグレーション データの受け入れ準備ができたことを表します また INITNピンを外部からLowに保持することで デバイスはコンフィグレーション モードにはなりません コンフィグレーションの開始後は INITNはビットストリーム エラーの表示に使用されます コンフィグレーション中に何らかのエラーが検出されるとINITNピンはLowになり その後にDONEもLowのままになり デバイスがウェイクアップしません DONE I/O 電源の再投入またはPROGRAMNピンのトグルによって デバイス コンフィグレーションを起動すると DONEピンはコンフィグレーション中にLowになり コンフィグレーションが正常に完了するとHighに戻ります デバイスを初めてプログラムするとき またはデバイスを新しいパターンでプログラムするときは 初期化中に Lowにならず LowからHighへの遷移が発生しません MCLK/CCLK I/O スレーブSPI(SSPI) でコンフィグレーションするためのクロック入力 またはSPIおよびSPIm モードでコンフィグレーションするためのクロック出力です SN I スレーブSPIのLowアクティブなチップ セレクト入力 CSSPIN I/O マスタSPIのLowアクティブなチップセレクト出力 SI/SISPI I/O スレーブSPIシリアルデータ入力およびマスタSPIシリアルデータ出力 SO/SPISO I/O マスタSPIシリアルデータ入力およびスレーブSPIシリアルデータ出力 SCL I/O スレーブI2Cクロック入力およびマスタI2Cクロック出力 SDA I/O スレーブI2Cデータ入力およびマスタI2Cデータ出力 Page: 28

29 3-2. Dual-Purpose I/O を通常 I/O として使用する方法 JTAGEN Diamond の Global Preferences より JTAG_PORT を ENABLE (Default) にすることで通常 IO として使用可能です TDI / TDO / TMS / TCK Diamond の Global Preferences より JTAG_PORT を DISABLE に設定し JTAGEN を Low にすることで通常 IO として使用可能です JTAGEN が High の時には TDI/TDO/TMS/TCK はコンフィグ専用ピンになります PROGRAMN / INITN / DONE Diamond の Global Preferences より SDM_PORT を DISABLE (Default) に設定していただくことで PROGRAMN / INITN / DONE のピンは通常 IO として使用可能です MCLK/CCLK SN SI/SPISI SO/SOSPI CSSPIN Diamond の Global Preferences より SLAVE_SPI_PORT MASTER_SPI_PORT を DISABLE (Default) に設定していただくことで MCLK/CCLK SN SI/SPISI SO/SOSPI CSSPIN のピンは通常 IO として使用可能です SCL / SDA Diamond の Global Preferences より I2C_PORT を DISABLE (Default) に設定していただくことで SCL / SDA のピンは通常 IO として使用可能です Page: 29

30 3-3. コンフィグ端子の説明 各コンフィグピンが使用されるコンフィグモード I/O タイプ 内部 Pull 設定は以下となります 注 1: Dual-Purpose ピンの仕様につきましては p24 をご参照ください 注 2: Weak Pull-up/down の抵抗値につきましては p12 をご参照ください Page: 30

31 3-4. 注意事項 1 JTAG インタフェースを設けることを推奨します TCK は 2.2K で必ず外部プルダウン TMS は 4.7K-10.0K でプルアップすることを推奨します 2 JTAG インターフェイス未使用を選択した場合 (JTAG_PORT=DISABLE) JTAGEN ピンは 0Ω 抵抗を GND 間に配置し かつプルアップ抵抗を実装出来るようにしておき 万が一の時は JTAG ポートをコンフィグに使用できるようにしておくことを推奨します 3 PROGRAMN,INITN,DONE ピンを有効にすることを推奨します Vccio0( と同タイミングで立ち上がる電源 ) に 4.7kΩ ~10kΩ でプルアップしてください 4 PROGRAMN ピンは再コンフィグレーション時にトグルする以外の目的には使用しないでください 電源立ち上がり後トグルする場合は コンフィグレーション完了を示す DONE がアサートされた後 数十 ~ 数百 msec 以上の十分な時間を確保します DONE が Low の状態で PROGRAMN をトグルしてはいけません 5 Dual Purpose ピンはできるだけユーザ I/O として使用しないことを推奨します Page: 31

32 3-5. その他 I/O ポート処理全般 1 PLL に用いるクロック入力信号は GPLL ピンを使用します プライマリクロック用には PCLK ピンを使用します 2 DDR1/DDR2/LPDDR メモリインタフェースでは DQS ピン配置を確認します また DQ,DM はそれぞれ該当する DQS のカバーする範囲 (DQS バススパン ) に収めます 差動 DQS を使用する場合は DQS#( 反転側 ) パッドには何も信号をアサインしないように注意します 3 未使用の汎用 IO につきましては内部でプルダウンされた Hi-z となっていますので全てオープンにします 4 5V トレラントには対応しておりません 4 Mix Voltage を使用する場合は以下 2 つの方法があります Hysterisis を N/A に設定します 内部 Pull-up は設定不可です (Pull-down は可能 ) R タイプを用います ( 例.LVCMOS25R33 など ) この場合 参照電圧を供給するためにバンク内に少なくとも一本以上の未使用ピンが必要です < 例.Vccio=3.3V を入力している Bank に LVCMOS25 を入力する場合 > VREF=1.25V を入力し IO_TYPE は LVCMOS25R33 に設定 する事で Vccio=3.3V の Bank に LVCMOS25V を入力することが可能です Page: 32

33 3-6. 参考回路 1SDM モード (JTAG_PORT=ENABLE/DISABLE) JTAG_PORT=ENABLE 2.2k JTAG_PORT=DISABLE XO2 の ZE ( コア電源 1.2V) を使用し Vccio が全て 3.3V で使用する場合の例 2.2k < 再度ダウンロードを行う場合 > JTAGENB と GND をつなぐ 0Ω 抵抗を外す => JTAGENB が High となり TDO / TDI / TMS / TCK は専用ピンとなる Page: 33

34 3-6. 参考回路 2 外部 SPI Flash メモリからダウンロード 1. SPI Flash メモリに BITSTREAM ファイルの書込みを行います (VMsystem を使用し XO2 経由で SPI Flash メモリに BITSTREAM ファイルを書き込むことも可能です ) 2. Diamond の SpreadsheetView で MASTER_SPI_Port を Enable CONFIGURATION を EXTERNAL に設定し生成した JEDEC ファイルを XO2 に書込みます 3. 電源を再投入 もしくは PROGRAMN ピンをトグルすることで XO2 は SPI Flash メモリからコンフィグレーションを行います ( 詳細は TN1204 に記載がございます ) 上図 SPI Flash メモリのピン名はベンダにより異なります Page: 34

35 3-6. 参考回路 3Slave SPI(SSPI) から CFM の書き換え コントローラ (MCU など ) から SSPI を介して ( バックグランドで )CFM を書き換えが可能です プルアップ / プルダウンはデバイスに依存しますが CCLK/CSN はノイズに留意してください 1. Diamond の SpreadsheetView にて SLAVE_SPI_PORT を ENABLE に設定し XO2 に書込みます ( 未書き込みの XO2 は SLAVE_SPI_PORT が ENABLE になっている状態と同様です ) 2. SPI Master から XO2 の CFM にコンフィグレーションデータの書込みを行います ( 詳細は TN1204 TN1246 に記載がございます ) 3. PROGRAMN ピンをトグルするか SSPI ポートから REFRESH コマンドを与えることで XO2 の再コンフィグレーションを行います Page: 35

36 3-6. 参考回路 4I2C から CFM の書き換え コントローラ (MCU など ) から I2C を介して ( バックグランドで )CFM を書き換えが可能です Diamond の SpreadsheetView にて I2C_PORT を ENABLE に設定し XO2 に書込みます ( 未書き込みの XO2 は I2C_PORT が ENABLE になっている状態と同様です ) 1. I2C Device から XO2 の CFM にコンフィグレーションデータの書込みを行います ( 詳細は TN1204 TN1246 に記載がございます ) 2. PROGRAMN ピンをトグルするか I2C ポートから REFRESH コマンドを与えることで XO2 の再コンフィグレーションを行います Page: 36

37 3-6. 参考回路 5JTAG 回路のチェイン構成例 4.7K-10KΩ 4.7KΩ TDO Lattice 社 FPGA Lattice 社 CPLD TCK TMS TDI TDO Lattice 社 FPGA Lattice 社 CPLD TCK TMS TDI Vccj ISP コネクタ Vcc GND TCK TMS TDI TDO Vcc,GND も繋ぐ必要があります 5 個以上のデバイスをチェインする場合 もしくは配線長が 1m を超える場合には TCK TMS の信号が JTAG コネクタより遠くなるほど弱くなり ノイズがのってしまう恐れがありますのでデバイス 5 個毎にバッファを 1 つ追加して下さい トレースが長い JTAG チェーンは 可能であれば TDI TDO( シリアルライン ) にそれぞれ Damping 抵抗 (0 ohm) を付加してください 1 デバッグの際 抵抗を外せば JTAG チェーンを容易に分けられます 2 反射等によるノイズを抑えたい場合 抵抗値を変えればよいです その他 詳細につきましては 弊社作成のマニュアル JTAG 基板設計時資料 をご覧下さい Page: 37

38 4-1. バージョン管理 Revision: Date: Author: Modify or Add: /11/27 Komiyama Initial Page: 38

PowerPoint Presentation

PowerPoint Presentation Page: 1 Lattice-XO3L 基板設計時資料 はじめに 本資料は Lattice 社 XO3L の基板設計時の注意事項 使用時の注意事項をまとめたものです 実際の動作等詳細 最終の確認は 別途データシート テクニカルノートを参照頂けるようお願い申し上げます Lattice 社データシートと本資料との間に差異があった場合には Lattice 社データシートを正としお取り扱い下さい Page:

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05)

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05) アプリケーションノート : ファミリ XAPP453 (v1.0) 2005 年 2 月 2 日 3.3 信号を使用した のコンフィギュレーション 概要 このアプリケーションノートでは Spartan -3 および Spartan -3L の 3.3 コンフィギュレーションについて説明しています ここでは コンフィギュレーションモード別に完全な接続図を示しており インプリメンテーションに簡単に利用できる便利なソリューションです

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

Report Template

Report Template Lattice ECP3 DDR3 メモリ I/F 1 目次 1 このドキュメントの概要... 4 2 DDR_MEM モジュールを使用する場合の注意点... 5 2.1 PLL の配置およびクロック入力ピンに関する注意事項... 5 2.2 クロック位相調整回路のタイミング制約と配置指定... 6 2.2.1 CSM の配置指定... 6 3 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項...

More information

FPGA HW Design GL

FPGA HW Design GL Lattice FPGA 実装設計と ボード設計の ガイドライン 本ドキュメントは主にラティス代理店 FAE を対象としています - 1 - 目次 1 はじめに 5 2 Lattice FPGA 各ファミリの電源設計要件 6 2.1 LatticeECP3 ファミリ... 6 2.1.1 電源系統と推奨電圧範囲... 6 2.1.2 電源のランプレート ( 傾き ) 立ち上がり時間... 6 2.1.3

More information

基盤設計時資料

基盤設計時資料 Rev.1.1 JTAG_Board_Design_Rev1.1.ppt Page: 1 JTAG_Board_Design_Rev1.1.ppt Page: 2 JTAG_Board_Design_Rev1.1.ppt Page: 3 JTAG_Board_Design_Rev1.1.ppt Page: 4 JTAG_Board_Design_Rev1.1.ppt Page: 5 ispjtag

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

, 0 ピンコネクタ (JTAG 接続 ) ピン配列コネクタ型番 SAMTEC 製 SHF-1-01-L-D-TH 表 0 ピンコネクタ (JTAG 接続 ) ピン配列 コネクタピン番号 CPU 信号名 備考 1 VTRef IO 電源 TMS 3 GND 4 TCLK 5 GND 6 TDO 7

, 0 ピンコネクタ (JTAG 接続 ) ピン配列コネクタ型番 SAMTEC 製 SHF-1-01-L-D-TH 表 0 ピンコネクタ (JTAG 接続 ) ピン配列 コネクタピン番号 CPU 信号名 備考 1 VTRef IO 電源 TMS 3 GND 4 TCLK 5 GND 6 TDO 7 1 ARM Cortex Debug ETM プローブ取扱説明書 本製品はハーフピッチ /0 ピン Cortex Debug コネクタ用のプローブになります ターゲットボードに搭載されたコネクタのピンに合わせて付属の ピンまたは 0 ピンケーブルで接続してください また 本製品は JTAG 及び SWD に対応しています 接続に関しては 各機能代表的な接続を後述の接続図や JP 設定を参照してください

More information

UMB-CP2114 User's Manual

UMB-CP2114 User's Manual UMB-CP2114 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

KEIm-25ヘッダーボードハードウェアマニュアル

KEIm-25ヘッダーボードハードウェアマニュアル Ver.1.0 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください 本製品には一般電子機器用部品が使用されています

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

オンボード・フラッシュ・プログラマ FP-10技術資料

オンボード・フラッシュ・プログラマ FP-10技術資料 2019-02-28 本資料は以下の内容で構成されています オンボード フラッシュ プログラマ FP-10 技術資料 ( 第 13 版 ) FP-10 を使用する前に参照していただく資料です FP-10 ユーザーズ マニュアル ( 第 59 版 ) - 抜粋版 ( ) FP-10 側ターゲット インターフェース仕様について記載されています FP-10 ユーザーズ マニュアルより 第 7 章ターゲット

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

JTAGプローブ技術資料 RX編Rev.7

JTAGプローブ技術資料 RX編Rev.7 RX ファミリ編 2018. 4:Rev.7 ビットラン株式会社 www.bitran.co.jp ご注意 1. 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2. 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3. 当社の許可無く複製 改変などを行う事は出来ません 4. 本書及びプログラムの内容について万全を期して作成いたしましたが

More information

2. 仕様 電源 :USB バスパワー (USB 入力の 5V 電源を使用します ) 出力 : 3.5mm ステレオジャック アナログステレオ出力 最大 20mArms 対応ヘッドホンインピーダンス 1Ω~500Ω RCA ピンジャック アナログ 2ch 出力 (L R) ラインレベル ヘッドホンア

2. 仕様 電源 :USB バスパワー (USB 入力の 5V 電源を使用します ) 出力 : 3.5mm ステレオジャック アナログステレオ出力 最大 20mArms 対応ヘッドホンインピーダンス 1Ω~500Ω RCA ピンジャック アナログ 2ch 出力 (L R) ラインレベル ヘッドホンア AK4495SEQ 搭載 USB DAC (I2C 付 ) 簡易取扱説明書 ( 呼称 :AK4495HA2) 2018-01-21 rev02 1. はじめに 本品は USB 接続のハイレゾ対応 D/A コンバータです パソコンなどで再生した音楽を出力します 特徴として 旭化成エレクトロニクスのハイエンド DAC AK4495SEQ を搭載してます また 内部に USB I2S 変換ドーターカードを搭載しています

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

1. ネットワーク経由でダウンロードする場合の注意事項 ダウンロード作業における確認事項 PC 上にファイアウォールの設定がされている場合は 必ずファイアウォールを無効にしてください また ウイルス検知ソフトウェアが起動している場合は 一旦その機能を無効にしてください プリンターは必ず停止状態 (

1. ネットワーク経由でダウンロードする場合の注意事項 ダウンロード作業における確認事項 PC 上にファイアウォールの設定がされている場合は 必ずファイアウォールを無効にしてください また ウイルス検知ソフトウェアが起動している場合は 一旦その機能を無効にしてください プリンターは必ず停止状態 ( ファームウェアのダウンロード手順 概要 機能変更や修正のために プリンターを制御するファームウェアを PC から変更することが可能です ファームウェアはホームページ (http://www.jbat.co.jp) から入手可能です ファームウェアは プリンター本体制御用のファームウェアと Interface Card 用ファームウェアの 2 種類で それぞれ独自にダウンロード可能です プリンター本体制御用のファームウェアは

More information

XCM-025Z Series User's Manual v1.1

XCM-025Z Series User's Manual v1.1 Spartan-7 FGGA484 FPGA ボード XCM-025Z シリーズユーザーズマニュアル Ver.1.1 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 1 1. 共通ピンについて 重要... 2 2. 製品の内容について... 3 3. 開発環境... 3 4. 仕様... 4 5. 製品説明... 5 5.1. 各部名称... 5 5.2. ブロック図...

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

PowerTyper マイクロコードダウンロード手順

PowerTyper マイクロコードダウンロード手順 必ずお読みください Interface Card 用マイクロコードを Ver 1.3.0 をVer 1.3.1 以降に変更する場合 または Ver 1.4.5 以前のマイクロコードを Ver 1.5.0 以降に変更する場合 ダウンロード前後に必ず以下の作業を行ってください ( バージョンは Webブラウザ上または付属ソフトウェア Print Manager のSystem Status 上で確認できます

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

JTAGプローブ技術資料SH編 Rev.16

JTAGプローブ技術資料SH編 Rev.16 SuperH RISC engine ファミリ編 2015. 3:Rev16 www.bitran.co.jp ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可無く複製 改変などを行う事は出来ません 4 本書及びプログラムの内容について万全を期して作成いたしましたが

More information

PowerPoint Presentation

PowerPoint Presentation SSPI Embedded System ユーザーズマニュアル Tecstar Page: 1 Agenda 1. SSPI Embedded System 概要 2. SSPI Embedded の特徴 3. Deployment Toolの起動方法について 4. SSPI Embedded 用意されているファイル 5. ソースファイルについて 6. SSPI Embedded ユーザーフロー 7.

More information

Spartan-6 FPGA ボード XCM-018Z ユーザーズマニュアル Ver.1.3 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 1 1. 共通ピンについて 重要... 2 2. 製品の内容について... 2 3. 開発環境について... 3 4. 仕様... 3 5. 製品説明... 4 5.1. 各部名称... 4 5.2. ブロック図... 5 5.3.

More information

Armadillo-800 EVAリビジョン情報

Armadillo-800 EVAリビジョン情報 Armadillo-800 EVA リビジョン情報 A8000-D00Z Version 1.2.0 2012/07/30 株式会社アットマークテクノ [http://www.atmark-techno.com] Armadillo サイト [http://armadillo.atmark-techno.com] 株式会社アットマークテクノ 060-0035 札幌市中央区北 5 条東 2 丁目 AFT

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

2STB240PP(AM-2S-G-005)_02

2STB240PP(AM-2S-G-005)_02 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (1 8) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0 7.0 0.3 S+0.3 0.3 CC+0.3 0.7 +75 45 +5 (1)S= 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

2STB240AA(AM-2S-H-006)_01

2STB240AA(AM-2S-H-006)_01 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (A1 A2) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0.0 0.3 S+0.3 0.3 CC+0.3 10 0. 20 + 4 +12 (1)S=12 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

elm73xxxxxxa_jp.indd

elm73xxxxxxa_jp.indd 概要 ELM73xxxxxxAは 遅延機能付きの CMOS 電圧検出器 ICであり 遅延時間は外付けコンデンサで調整可能です また 非常に低い消費電流 (Tpy.26nA) で動作します ELM73xxxBxxAシリーズはマニュアルリセット機能付きタイプで いつでも手動でリセットすることができます 出力スタイルは N-chオープンドレイン出力と CMOS 出力の 2つがあります 電源電圧 ddは検出電圧以下に低下したとき

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

MS5145 USB シリアル エミュレーション モードの設定

MS5145 USB シリアル エミュレーション モードの設定 MS5145-AC-U 補足設定 2010 年 7 月株式会社エイポック http://www.a-poc.co.jp/ USB シリアルエミュレーションモードの設定 1. 概要 USB シリアル エミュレーション モードとはバーコードリーダーを USB で接続していながら RS-232C 接続機器としてパソコンに認識させる設定です 読み取ったバーコード データは COM ポートにシリアルデータとして入力します

More information

Report Template

Report Template 日本語マニュアル 第 16 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 不明箇所について又は疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は に掲載され

このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は   に掲載され このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は http://www.dgway.com/sdlink.html に掲載されていますので ダウンロードし参照してください [ 梱包内容 ] SDLink 本体 1 ケ microsd(sdhc)

More information

ATB-A400CAN

ATB-A400CAN ATB-M2M CAN 対応ボード ATB-AGAD-CAN 製品マニュアル Version 1.1.0 2016/3/2 アドバリーシステム株式会社 目次 1. はじめに... 1 2. ボード説明... 2 2.1 ボード概要... 2 2.1.1 部品実装図... 2 2.2 基板実装方法... 3 2.2.1 組み立て... 3 2.2.2 付属品... 3 2.3 ジャンパ設定... 4

More information

PA-S500 取扱説明書

PA-S500 取扱説明書 PA-S500 取扱説明書 Revision 1.05 改訂 2010.Dec.01 R1.00 初版 2011.Aug.02 R1.01 2 版 2012.Feb.03 R1.02 3 版 2012.Feb.16 R1.03 4 版 DIO コネクタピン番号等追加 2012.Feb.17 R1.04 5 版 DIO コネクタ型番等追加 2012.Mar.01 R1.05 6 版 ソフトウェア説明追加

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD 0 XA2C256 Coolunner-II CPLD DS555 (v1.1) 2007 5 5 0 0 AEC-Q100 PPAP I Q ( ) T A = -40 C +105 C T J = +125 C (Q ) 1.8V 0.18 CMOS CPLD - ( Coolunner -II ) - 1.5V 3.3V - 100 VQFP (80 ) - 144 TQFP (118 ) -

More information

UCB User's Manual

UCB User's Manual UCB-21489 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

ご使用前に必ずお読みください 2 電源 8bit 双方向ロジックレベル変換モジュール MM-TXS01 取扱説明書 この度は2 電源 8bit 双方向ロジックレベル変換モジュール MM-TXS01 をお買い求めいただきまして誠にありがとうございます 本製品は Texas Instruments 社製

ご使用前に必ずお読みください 2 電源 8bit 双方向ロジックレベル変換モジュール MM-TXS01 取扱説明書 この度は2 電源 8bit 双方向ロジックレベル変換モジュール MM-TXS01 をお買い求めいただきまして誠にありがとうございます 本製品は Texas Instruments 社製 ご使用前に必ずお読みください 2 電源 8bit 双方向ロジックレベル変換モジュール MM-TXS01 取扱説明書 この度は2 電源 8bit 双方向ロジックレベル変換モジュール MM-TXS01 をお買い求めいただきまして誠にありがとうございます 本製品は Texas Instruments 社製 ( 以下 TI 社製 ) の TXS0108E を搭載した 8bit 双方向ロジックレベル変換モジュールです

More information

TWE-Lite R 取扱説明書

TWE-Lite R 取扱説明書 USB アダプター TWE-Lite R( トワイ ライター ) TWE-Lite R( トワイ ライター ) は TWE-Lite DIP( トワイライト ディップ ) にソフトウエアを書き込むためのライターです USB 接続でパソコンから TWE-Lite DIP 内蔵のフラッシュメモリーにソフトウエアを転送することができます ソフトウエアを更新し機能追加することや 他のソフトウエアや自作ソフトウエアを書き込むことができます

More information

PLD-XC2S-A

PLD-XC2S-A PC104 シリーズ FPGA ボード [ PC/104 ] ( XC2S150 [XILINX] 搭載 ) PLD-XC2S-A 取扱説明書 梱包内容について 本製品は 下記の部品で構成されています 万が一 不足していた場合には すぐにお買い求めの販売店に御連絡ください ボード本体 1 枚 スペーサ (16mm オスメスネジ 金属) 4 個 ビス 4 個 ナット 4 個尚 環境保全 ペーパーレス推進のため

More information

第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例 プリント基板設計における推奨パターン及び注意点 Fuji Electric Co., Ltd. MT6M12343 Rev.1.0 Dec

第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例 プリント基板設計における推奨パターン及び注意点 Fuji Electric Co., Ltd. MT6M12343 Rev.1.0 Dec 第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例. 5-2 2. プリント基板設計における推奨パターン及び注意点.. 5-5 5-1 1. 応用回路例 この章では 推奨配線とレイアウトについて説明しています プリント基板設計時におけるヒントと注意事項については 以下の応用回路例をご参照下さい 図.5-1 と図.5-2 には それぞれ 2 種類の電流検出方法での応用回路例を示しており

More information

8051 개발보드 메뉴얼

8051 개발보드 메뉴얼 ㄴㄴㄴ標準 U-STYLE ボード (Model:DM-USTYLE V1.0 ) マニュアル 改訂日 : 2015 年 11 月 24 日 1. Arduino At Heartプロトタイプ標準 U STYLEボード (DM-USTYLE V1.0) のご紹介 アドゥイノウノブートローダが書き込んだATMEGA328P-PUを使用 Arduino At Heart( ) プロトタイプのボードの互換コネクタと

More information

Microsoft Word - LVDS-R仕様書_第1版_.doc

Microsoft Word - LVDS-R仕様書_第1版_.doc LVDS-CMOS 変換基板 LVDS-R 取り扱い説明書 ( 第 1 版 ) 2015/10 1/17 目次 実装 組み込み上のご注意 実装 組み込み上のご注意 保証 免責事項 P3 P4 製品の概要 特長 1. オプション ( 別売り ) P5 2. 基板各部コネクタ の名称とはたらきと基板寸法図 P5 3. 使用目的 用途 P7 4. 主な特長 P8 基本仕様 1. 絶対最大定格 P9 2.

More information

RP-VL-S-01, RP-VL-R-01

RP-VL-S-01, RP-VL-R-01 テクニカルリファレンス有線 LAN 対応標準型画像配信ユニット RP-VL-S-01 有線 LAN 対応標準型画像配信ユニット RP-VL-R-01 目次 1. トラブルシューティングを開始する前に...3 2. 凡例...3 3. トラブルシューティング...4 3.1. 画面が映らない ( 側モニタ )...4 3.2. 画面が映らない ( 側モニタ )...8 3.3. 画面がずれる / 切れる

More information

SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日

SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日 SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日 目次 1. 配線方法... 3 2. KV-Studio 設定... 6 3. 制御方法... 7 4. 一般仕様... 9 2 1. 配線方法 A B C 3 4 2 E 1 D 購入時の内容物 番号 項目 1 2 3 SP-1221 基板 MIL34 ピンフラットケーブル 2m(KV-C16XTD)

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S 反転型チャージポンプ IC Monolithic IC MM3631 概要 MM3631X は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの SOT-26B (2.9 2.8 1.15mm) の小型パッケージを採用しています CE 端子を内蔵しており スタンバイ時は 1 μ A 以下と待機時電流を低減しています

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

RS-422/485 ボード取扱説明書 RS-422/485 ボード取扱説明書 Revision 0.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 2009 Core Staff Co.,Ltd. All Rights Reserved - 1 of 17

RS-422/485 ボード取扱説明書 RS-422/485 ボード取扱説明書 Revision 0.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 2009 Core Staff Co.,Ltd. All Rights Reserved - 1 of 17 Revision.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 29 Core Staff Co.,Ltd. All Rights Reserved - of 7 目次 はじめに 3. 概要 4 2. 主要緒言 5 3. 各種インターフェース機能説明 8 4. 外形寸法 4 Copyright 29 Core Staff Co.,Ltd. All Rights Reserved

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

Taro-82ADAカ.jtd

Taro-82ADAカ.jtd デジタル & アナログ絶縁入出力ユニット解説書製品型式 8 2 A D A - K C 製品型式 8 2 A D A - B D 製品型式 D A C S - 8 2 0 0 この解説書は 8 2 A D A または D A C S - 8 2 0 0 の動作と使用方法について簡単に説明したものです D A C S - 8 2 0 0 の場合は この解説書の 8 2 A D A という表現を 一部

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

RY_R8C38ボード RY-WRITER基板 自動書き込み・実行解説マニュアル

RY_R8C38ボード RY-WRITER基板 自動書き込み・実行解説マニュアル RY_R8C38 ボード RY-WRITER 基板自動書き込み 実行解説マニュアル 第.0 版 205.04.20 株式会社日立ドキュメントソリューションズ 注意事項 (rev.6.0h) 著作権 本マニュアルに関する著作権は株式会社日立ドキュメントソリューションズに帰属します 本マニュアルは著作権法および 国際著作権条約により保護されています 禁止事項 ユーザーは以下の内容を行うことはできません

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

Microsoft Word - XPC4ソフトマニュアル.doc

Microsoft Word - XPC4ソフトマニュアル.doc < XPC-4 映像ツール 簡易マニュアル> お試し版 Ver1.0 XPC-4 に USB ケーブルを接続する際の注意事項 ファームウェア アップデートの作業 もしくは XPC-4 映像ツール を使用するときは USB2.0 に対応した USB ケーブル (Type A[ オス ]-Type B[ オス ]) が 1 本必要です USB ケーブルはパソコンの OS(Windows) が完全に起動してから

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

RS*232C信号絶縁ユニット

RS*232C信号絶縁ユニット RS232C-RS422/485 変換器 ( 絶縁型 ) USB-RS422/485 変換器 ( 絶縁型 ) CNV-06 CNV-06-USB 取扱説明書 INTRODUCTION この度は 当社の CNV-06(-USB) をご購入していただきありがとうございます 本製品の性能を十分に引き出してご使用して頂くために この取扱説明書を熟読されるようお願い致します また USB 版は DTE 側が

More information

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc Anybus CANopen Master Simulator インストール手順補足説明資料 Version: A00 エイチエムエス インダストリアルネットワークス株式会社 222-0033 神奈川県横浜市港北区新横浜 3-19-5 新横浜第 2 センタービル 6F TEL : 045-478-5340 FAX : 045-476-0315 URL www.anybus.jp EMAIL セールス

More information

ACM030R1-MAN-JP-V10.xbd

ACM030R1-MAN-JP-V10.xbd MAX10 FPGA ボード ACM-030 ユーザーズマニュアル Ver.1.0 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 2 1. 製品の内容について... 2 2. 開発環境... 2 3. 仕様... 3 4. 製品概要... 4 4.1 各部の名称... 4 4.2 ブロック図... 5 4.3 電源入力... 5 4.4 クロック... 5 4.5

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

改訂履歴版数 日付 内容 担当 第 1 版 2017/06/21 初版作成 山田 第 2 版 2018/02/19 表紙 ヘッダーのボード名を NV013-B から GMI に変更 柏木 第 3 版 2018/03/19 差動入力改造の説明を追加 山田 2

改訂履歴版数 日付 内容 担当 第 1 版 2017/06/21 初版作成 山田 第 2 版 2018/02/19 表紙 ヘッダーのボード名を NV013-B から GMI に変更 柏木 第 3 版 2018/03/19 差動入力改造の説明を追加 山田 2 MAX96706 GMSL デシリアライザ基板 (NV013-B) ハードウェア仕様書 第 3 版 株式会社ネットビジョン 改訂履歴版数 日付 内容 担当 第 1 版 2017/06/21 初版作成 山田 第 2 版 2018/02/19 表紙 ヘッダーのボード名を NV013-B から GMI-96706 に変更 柏木 第 3 版 2018/03/19 差動入力改造の説明を追加 山田 2 目次 1.

More information

LatticeXP ファミリ・データシート

LatticeXP ファミリ・データシート MachXO DS1002 Version 02.5, Feb. 2007 MachXO ( ) SRAM SRAM MPU JTAG TransFR TM (TFR) 256 2280 LUT4 FF 73 271 I/O /RoHS MachXO EBR 27.6Kbits sysmem TM RAM(EBR) 7.5Kbit FIFO sysio LVCMOS 3.3/2.5/1.8/1.5/1.2

More information

ydez-usb マニュアル 写真 やなごデザイン有限会社

ydez-usb マニュアル 写真 やなごデザイン有限会社 ydez-usb マニュアル 写真 やなごデザイン有限会社 http://www.yanago.co.jp 2015.5.15 1 ご使用使用になるまえに このたびは ydez-usb をお買い上げ頂きまして誠にありがとうございます 本書では 本製品を利用するにあたっての注意事項 活用法など記載していますので ご一読いただき 正しくお使いください 梱包内容 本製品には 以下の品々により構成されています

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

製品仕様書 製品名 インターフェース基板 製品型番 TR3-IF-U1A 発行日 2016/4/1 仕様書番号 TDR-SPC-IF-U1A-102 Rev 1.02

製品仕様書 製品名 インターフェース基板 製品型番 TR3-IF-U1A 発行日 2016/4/1 仕様書番号 TDR-SPC-IF-U1A-102 Rev 1.02 製品仕様書 製品名 インターフェース基板 製品型番 TR3-IF-U1A 発行日 2016/4/1 仕様書番号 TDR-SPC-IF-U1A-102 Rev 1.02 目次 1 適用範囲... 3 2 各部の名称... 3 3 仕様... 4 3.1 本体仕様... 4 3.2 付属品仕様... 8 3.2.1 リーダライタモジュール接続ケーブル ( 型番 :CB-10A26-100-PH-PH)...

More information

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D 東芝 Bi CMOS 集積回路シリコンモノリシック TB62705CP/CF/CFN TB62705CP,TB62705CF,TB62705CFN 8 ビット定電流 LED ドライバ TB62705CP / CF / CFN は 8 ビットの電流値を可変可能な定電流回路と これをオン オフ制御する 8 ビットシフトレジスタ ラッチおよびゲート回路から構成された定電流 LED ドライバです ( アノードコモン

More information

HDLトレーナーサンプルプログラム説明書

HDLトレーナーサンプルプログラム説明書 H8-BASE2 拡張キット説明書 June 10,2007 株式会社ソリトンウェーブ 目次 本製品の付属品について...3 本製品に付属するサンプルプログラムについて...4 サンプルソースの説明...5 1.TimerATest...5 2.LcdTest...5 3.AdcTest...5 4.AdcTest2...5 5.ComTest...5 6.PS2Test...6 7.FanTest...6

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

AF430_HSM_mnJ

AF430_HSM_mnJ AF430/HSM (High Speed Model) Instruction Manual DTS INSIGHT CORPORATION. AF430/HSM Instruction Manual No. M2383MV-02 改訂履歴 版 発行日 変更内容 第 1 版 2016.02.29 新規発行 第 2 版 2016.10.28 AZ774(QSPI アダプタ ) 仕様追加 1 < 目次

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

MPPC 用電源 C 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても M

MPPC 用電源 C 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても M MPPC 用電源 C1104-0 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C1104-0は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても MPPCを常に最適動作させるために温度補償機能を内蔵しています ( アナログ温度センサの外付けが必要 ) また

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

LatticeXP ファミリ・データシート

LatticeXP ファミリ・データシート MachXO DS1002 Version 02.8, June 2009 DISCLAIMER Translation of Lattice materials into languages other than English is intended as a convenience for our non-english reading customers. Although we attempt

More information

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 )

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 ) 東芝 Bi CMOS 集積回路シリコンモノリシック TB62706BN,TB62706BF TB62706BN/BF 16 ビット定電流 LED ドライバ TB62706BN TB62706BF は 16 ビットの電流値を可変可能な定電流回路と これをオン オフ制御する 16 ビットシフトレジスタ ラッチおよびゲート回路から構成された定電流 LED ドライバです ( アノードコモン ) Bi CMOS

More information

Arduinoで計る,測る,量る

Arduinoで計る,測る,量る [ 第 4 章 ] 決められた入出力ポートだが逆に使いやすいアナログ入出力もスケッチが用意されていて使い方は簡単 本章では,Arduino のアナログ入力として, センサからの出力の代わりにボリュームを用いて, 0V から電源電圧まで変化する電圧を読み取り, この変化した電圧に対応した出力を LED に加えてアナログ入出力のテストを行います. 続いて, アナログ入力の具体例として温度の測定を行います.

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

PCC

PCC Panasonic ネットワークカメラ用外付けセンサー取付け説明書 Ver. 2.4 2017 年 4 月 3 日 パナソニック株式会社 コネクティッドソリューションズ社 セキュリティシステム事業部 - 1 - 改版履歴 改版 Ver. 更新内容 日付 1.0 初版 2002 年 7 月 19 日 1.1 社名を パナソニックコミュニケーションズ ( 株 ) に変更 1.2 適用機種の追加 (KX-HCM180

More information

S1F77330 シリーズ USB 用バススイッチ IC 2 to 1 Bus Switch 概要 S1F77330 シリーズは USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用している

S1F77330 シリーズ USB 用バススイッチ IC 2 to 1 Bus Switch 概要 S1F77330 シリーズは USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用している USB 用バススイッチ IC 2 to 1 Bus Switch 概要 は USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用しているため 高密度実装への対応が可能です 本 IC の入力にレベルシフト回路内蔵のため 外付けレベルシフト回路は不要です 特長 入力電圧範囲 :3.0V~3.6V

More information