PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 Page: 1 Lattice-XO3L 基板設計時資料

2 はじめに 本資料は Lattice 社 XO3L の基板設計時の注意事項 使用時の注意事項をまとめたものです 実際の動作等詳細 最終の確認は 別途データシート テクニカルノートを参照頂けるようお願い申し上げます Lattice 社データシートと本資料との間に差異があった場合には Lattice 社データシートを正としお取り扱い下さい Page: 2

3 目次 ページ 1. 電源ピンの処理 1-1. 電源ピン説明 注意事項 6 2. I/Oピンの処理 2-1. I/O Bank の構成 クロック専用ピン PLL 専用ピン 対応可能なI/OのI/F 一覧 ~シングルエンドI/O~ 対応可能なI/OのI/F 一覧 ~ 差動 I/O~ サポートする標準入力 I/Fについて プルアップ / プルダウンの抵抗値 Dual-function I/Oのプルアップ / プルダウン Power-ON 時のI/Oの挙動 ドライブ能力について 差動 LVDS I/F 対応について その他差動 I/Fについて 同時スイッチングによるノイズの影響 DDR 関連の処理 対応について CONFIGピンの処理 3-1. Dual-function I/Oについて Dual-function I/Oを通常 I/Oとして使用する方法 注意事項 その他 I/Oポート処理全般 XO2とXO3Lデバイスの違いについて Grobal Preference Settingについて 各モード設定について 各 Mode と参考回路 4-1~4-7. 各 Mode と参考回路 まとめ 4-8. バージョン管理 44 Page: 3

4 Page: 4 XO3L の電源ピンについて

5 1-1. 電源ピン説明 ピン名電圧 (V) 備考 Vcc( 専用ピン ) 1.2 (±5%) XO3L-E デバイスコア用電源 2.5 / 3.3 (±5%) XO3L-C デバイスコア用電源 GND( 専用ピン ) - グランドピン VCCIO( 専用ピン ) 1.2/1.5/1.8/2.5/3.3 (±5%) 各バンク毎の I/O 電源使用するインターフェースによってバンク毎に入力する電源を決定します 注 :1XO3L-E デバイスで VCCIO が 1.2V の場合 或いは XO3L-C デバイスで VCCIO が 2.5V / 3.3V でコアと同じ場合は VCC と同じ電源にそれぞれ接続する 2 未使用 I/O バンクの VCCIO は VCC に接続する 3I/O バンク 0 はコンフィグレーション関連ピンを含むバンク Page: 5

6 1-2. 電源に関する注意事項 電源シーケンス制御 Vccio を先に立ち上げないと不定ドライブをしてしまう可能性がありますのでご注意下さい 特に VCCIO2 につきましては必ず VCC / VCCIO0 と同時か その前に立ち上げてください 尚 VCCIO1/VCCIO3/VCCIO4/VCCIO5 に関してのシーケンスはございません 電源の立ち上がり時間は下記の条件を推奨いたします 電源 条件 立ち上がり時間 Vcc 0~ 最少有効電圧 0.01 ~ 100V/ms Vccio0 0~ 最少有効電圧 0.01 ~ 10V/ms 電源は単調増加である必要があります 電源オン オフ ( パワーサイクリング ) 時などの残留電圧 デバイスが動作中に VCC 電源が瞬断などで一時的に低下した場合 或いは意図的にオフとオンの操作 / 制御 ( パワーサイクリング ) をする場合の要件です 電源が復帰した後の動作 ( 再コンフィグレーション ) を確実にするため Vcc は以下を満たすことを推奨いたします 時間 : 1usec 以上最低残留電圧値 : 0.6V 以下 Page: 6

7 XO3L の I/O Bank の概念及び 汎用 I/O について Page: 7

8 2-1. I/O Bank の構成 MachXO3L-1300 in 256 Ball Packages, MachXO3L-2100, MachXO3L-4300 and MachXO3L-6900 バンク構造 MachXO3L-640 and MachXO3L-1300 B バンク構造 各バンクに VCCIO を 1 つずつ持っています True LVDS 出力に関しては TopBank[Bank 0] のみ対応可能です LVDS 入力に関してはすべての Bank で対応可能です ( ギアリングロジックを使用する場合 LVDS 送信は TopBank[Bank 0] LVDS 受信は BottomBank[Bank 2] の A/B ペアでのみ対応可能です ギアリングロジックの詳細は p 26 に記載があります ) 外部抵抗により LVDS LVPECL 等のエミュレーションは可能です Page: 8

9 2-2. クロック専用ピン PLL 専用ピン ピン名 [LOC]_GPLL[T,C]_IN 説明 GPLL へのクロック入力ピン PLL 未使用時は通常 I/O として使用可能 [LOC]_GPLL[T,C]_FB GPLL へのフィードバック入力ピン PLL 未使用時は通常 I/O として使用可能 PCLK[n]_[2:0] Global クロック入力ピン クロックピンとして使用しない場合 通常 I/O として使用可能 上記のピンは未使用時は OPEN で構いません [LOC] PLL のロケーション (L, R) [T/C] T(True) C(Complement) 差動の P/N 注意事項シングルエンドのクロックは 必ず T 側のピンにアサインしてください Page: 9

10 2-3 対応可能な I/O の I/F 一覧 ~ シングルエンド I/O~ 規格 バンク0(Top) バンク1 バンク2(Bottom) バンク3-5 LVTTL33 LVTTL33 LVTTL33 LVTTL33 LVCMOS33 LVCMOS33 LVCMOS33 LVCMOS33 シングルエンド LVCMOS25 LVCMOS25 LVCMOS25 LVCMOS25 LVCMOS18 LVCMOS18 LVCMOS18 LVCMOS18 LVCMOS15 LVCMOS15 LVCMOS15 LVCMOS15 LVCMOS12 LVCMOS12 LVCMOS12 LVCMOS12 Page: 10

11 2-4 対応可能な I/O の I/F 一覧 ~ 差動 I/O~ 規格 バンク0(Top) バンク1 バンク2(Bottom) バンク3-5 LVDS 出力 LVPECL33E 2 LVPECL33E 2 LVPECL33E 2 LVPECL33E 2 MLVDS25E 2 MLVDS25E 2 MLVDS25E 2 MLVDS25E 2 BLVDS25E 2 BLVDS25E 2 BLVDS25E 2 BLVDS25E 2 LVDS25E 2 LVDS25E 2 LVDS25E 2 LVDS25E 2 LVCMOS33D 出力 LVCMOS33D 出力 LVCMOS33D 出力 LVCMOS33D 出力 LVCMOS25D 出力 LVCMOS25D 出力 LVCMOS25D 出力 LVCMOS25D 出力 差動 I/O LVDS 入力 LVDS 入力 LVDS 入力 3 LVDS 入力 LVPECL33 入力 LVPECL33 入力 LVPECL33 入力 LVPECL33 入力 MLVDS25 入力 MLVDS25 入力 MLVDS25 入力 MLVDS25 入力 BLVDS25 入力 BLVDS25 入力 BLVDS25 入力 BLVDS25 入力 LVTTL33D 入力 LVTTL33D 入力 LVTTL33D 入力 LVTTL33D 入力 LVCMOS33D 入力 LVCMOS33D 入力 LVCMOS33D 入力 LVCMOS33D 入力 LVCMOS25D 入力 LVCMOS25D 入力 LVCMOS25D 入力 LVCMOS25D 入力 LVCMOS18D 入力 LVCMOS18D 入力 LVCMOS18D 入力 LVCMOS18D 入力 LVCMOS15D 入力 LVCMOS15D 入力 LVCMOS15D 入力 LVCMOS15D 入力 1. 真の LVDS 出力は Top バンクで対応しています 2. エミュレート出力規格は規格名の後ろに E がついています 3. 7:1LVDS の入力は Bottom バンクでのみ対応しております Page: 11

12 2-5. サポートする標準入力 I/F について LVCMOS 及び LVTTL I/O タイプの電圧混在への対応 5V トレラント入力はサポートしておりません XO3L デバイスの入力バッファは Mixed Voltage 対応となっており VCCIO,VREF に依存せず 入力 I/F をとることが可能です 上表注 2 :Vccio に対して入力電圧が上回る場合 (OverDriveCondition) 上表注 3 :Vccio に対して入力電圧が下回る場合 (UnderDriveCondition) 注意事項 OverDriveCondition UnderDriveCondition の際 デバイス内部の PCI-CLAMP Hysteresis 機能 I/O 内部での UP Keeper はサポートしておりませんので CLAMP を OFF PULLMODE を NONE Hysteresis を NA に設定する必要がございます 差動入力規格の電圧混在への対応 Page: 12

13 2-6. プルアップ / プルダウンの抵抗値 MachXO3L ではデバイスの動作モードやコンフィグレーション状態に関わらず 内部で弱いプルアップ / プルダウン 状態では DC 電気的特性の IPU/IPD 規定値から 等価抵抗値が 以下のように算出されます 従って 内部プル処理の論理レベルと反対のレベルにする必要がある場合は 以下の値を推奨します (LVCMOS, LVTTL など ) 内部処理が無い専用ピンにつける外部処理の抵抗値としては 2.2k - 4.7kΩ を推奨します 或いはフェールセーフ的な観点や設計要件によって 内部プル処理と同じレベルで外部プル処理を行う場合も同様です Page: 13

14 2-7. Dual-function I/O のプルアップ / プルダウン (1) 未書き込み XO3L デバイス SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : PROGRAMN Enabled Enabled Enabled Disabled Blank/Erase Device ピン名 機能 方向 内部処理 外部処理 PROGRAMN PROGRAMN 入力 弱いPull-up Pull-up INITN I/O ユーザ定義弱いPull-down DONE I/O ユーザ定義弱いPull-down MCLK/CCLK SSPI 入力 弱いPull-up SN SSPI 入力 弱いPull-up SI/SPISI SSPI 入力 なし Pull-up/down SO/SOSPI SSPI 出力 なし Pull-up/down CSSPIN I/O ユーザ定義弱いPull-down SCL I2C 双方向 なし Pull-up/down SDA I2C 双方向 なし Pull-up/down TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up Pull-up JTAGENB I/O ユーザ定義弱いPull-down (2) コンフィグ兼用ピンの設定をデフォルトで生成したファイルが内部 Flash に書き込まれた XO3L デバイス SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : Disabled Enabled Disabled Enabled Disabled User Default Pattern in Flash ピン名 機能 方向 内部処理 外部処理 PROGRAMN I/O ユーザ定義弱いPull-down INITN I/O ユーザ定義弱いPull-down DONE I/O ユーザ定義弱いPull-down MCLK/CCLK I/O ユーザ定義弱いPull-down SN I/O ユーザ定義弱いPull-down SI/SPISI I/O ユーザ定義弱いPull-down SO/SOSPI I/O ユーザ定義弱いPull-down CSSPIN I/O ユーザ定義弱いPull-down SCL I/O ユーザ定義弱いPull-down SDA I/O ユーザ定義弱いPull-down TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up Pull-up JTAGENB I/O ユーザ定義弱いPull-down 青枠はコンフィグモードで動作するピンを示しています 赤字は外部 pull-up/down が必要なピンを示しています Page: 14

15 2-7. Dual-function I/O のプルアップ / プルダウン (3-1) コンフィグ兼用ピンの設定を変更して生成したファイルが内部 Flash に書き込まれた XO3L デバイス例 3 SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : PROGRAMN Enabled Disabled Enabled Disabled User Default Pattern in Flash ピン名 機能 方向 内部処理 外部処理 PROGRAMN PROGRAMN 入力 弱いPull-up Pull-up INITN I/O ユーザ定義弱いPull-down DONE I/O ユーザ定義弱いPull-down MCLK/CCLK SSPI 入力 弱いPull-up SN SSPI 入力 弱いPull-up SI/SPISI SSPI 入力 なし Pull-up/down SO/SOSPI SSPI 出力 なし Pull-up/down CSSPIN I/O ユーザ定義弱いPull-down SCL I/O ユーザ定義弱いPull-down SDA I/O ユーザ定義弱いPull-down TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up Pull-up JTAGENB I/O ユーザ定義弱いPull-down 青枠はコンフィグモードで動作するピンを示しています 赤字は外部 pull-up/down が必要なピンを示しています (3-2) コンフィグ兼用ピンの設定を変更して生成したファイルが内部 Flash に書き込まれた XO3L デバイス例 4 SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : PROGRAMN Enabled Disabled Disabled Enabled User Default Pattern in Flash ピン名 機能 方向 内部処理 外部処理 PROGRAMN PROGRAMN 入力 弱いPull-up Pull-up INITN I/O ユーザ定義弱いPull-down DONE I/O ユーザ定義弱いPull-down MCLK/CCLK SSPI 出力 弱いPull-up SN SSPI 入力 なし Pull-up SI/SISPI SSPI 出力 なし Pull-up/down SO/SPISO SSPI 入力 なし Pull-up/down CSSPIN I/O 出力 なし Pull-up/down SCL I/O ユーザ定義弱いPull-down SDA I/O ユーザ定義弱いPull-down TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up Pull-up JTAGENB I/O ユーザ定義弱いPull-down デュアルブートまたは外部ブートモードに設定されている場合 MCLK/CCLK ピンはマスタクロック (MCLK) として機能します これらのモードを使用する際は 1K の外付けプルアップ抵抗を推奨します Page: 15

16 2-7. Dual-function I/O のプルアップ / プルダウン SDM_PORT: JTAG_PORT: I2C: Slave SPI : Master SPI : PROGRAMN_DONE Enabled Enabled Disabled Disabled User Pattern in Flash [User Selection (Not Default)] ピン名 機能 方向 内部処理 外部処理 PROGRAMN b PROGRAMN 入力弱いPull-up Pull-up INITN I/O ユーザ定義弱いPull-down DONE b DONE 双方向弱いPull-up Pull-up MCLK/CCLK I/O ユーザ定義弱いPull-down SN I/O ユーザ定義弱いPull-down SI/SPISI I/O ユーザ定義弱いPull-down SO/SOSPI I/O ユーザ定義弱いPull-down CSSPIN I/O ユーザ定義弱いPull-down SCL I2C 双方向 なし Pull-up SDA I2C 双方向 なし Pull-up TDI TDI 入力 弱いPull-up TDO TDO 出力 弱いPull-up TCK TCK 入力 なし Pull-down TMS TMS 入力 弱いPull-up Pull-up JTAGENB I/O ユーザ定義弱いPull-down 青枠はコンフィグモードで動作するピンを示しています 赤字は外部 pull-up/down が必要なピンを示しています 青枠はコンフィグモードで動作するピンを示しています 赤字は外部 pull-up/down が必要なピンを示しています 注意事項 JTAG_PORT を DISABLE にしたデータを書き込んだ場合 JTAGENB を外部から High にできなければ JTAG からの書込みが出来なくなるので注意が必要です JTAG_PORT を DISABLE にする場合には JTAGENB ピンは 0Ω 抵抗を GND 間に配置し かつプルアップ抵抗を実装出来るようにしておき 万が一の時は JTAG ポートをコンフィグに使用できるようにしておくことを推奨します Page: 16

17 2-8. Power-ON 時の I/O の挙動 電源 ON Vcc = VPORUPEXT ( 1. 5 V ~ 2. 1 V ) Vccio = VPORUP ( 0. 9 V ~ V ) Configuration 完了 Vcc, Vccio 電源 ON ~ VPORUP までの期間 Configuration 中 Configuration 完了後 コンフィグ兼用ピン状態 Blank/Erase Device ( 1 ) の状態 ( p 14 ) 開発ツール " Lattice Diamond " で設定している状態 ( 1 ) 未書き込み XO 3L デバイスの状態 ( (p 14) ( 2 ), ( 3-1 ), ( 3-2 ),( 3-3 ),( 3-4 ) の状態 ( p 14 - p 16 ) 通常 I / O ピン状態 Hiz, 内部プルダウン Hiz, 内部プルダウン 開発ツール " Lattice Diamond " で設定している状態 注意事項 Vcc は単調増加である必要があります XO3L デバイス起動前 / 起動時に I/O に電圧が印加されると 0 < VIN < VIH(MAX) の条件下では最大 1mA/ ピンの電流が流れる可能性があります データシート Hot Socketing Specifications をご参照下さい Page: 17

18 2-9. ドライブ能力について *XO3L DataSheet(sysIO Single-Ended DC Electrical Characteristics) より抜粋 上記の表のように XO3L のドライブ電流値は可変させることが可能です 設定した I/F によって 設定できる値は異なりますので データシートで御確認ください XO3L の I/O バッファーのドライブ可能電流は以下のような制約があります The average DC current drawn by I/Os between GND connections, or between the last GND in an I/O bank and the end of an I/O bank, as shown in the logic signal connections table shall not exceed n * 8mA, where n is the number of I/Os between bank GND connections or between the last GND in a bank and the end of a bank. Bank の GND 間にある I/O もしくは I/O Bank 内にある最後の GND から I/O Bank の端までにある I/O の本数において ( その本数 ) 8mA のドライブ電流を超えてはいけない 例えば XO3L-1300 のピンリストを見ますと右のようになっております 赤枠を見ますと GND GND 間の I/O の本数が 9 本となっております よって 8mA 9 本 =72mA となり 9 本の I/O は合計で最大 72mA までドライブすることができます (1 本当たりの最大は 16mA となります ) 次に青枠をご覧下さい GND から BANK 端の I/O までの本数を数えますと 4 本になっております よって 8mA 4 本 =32mA となり この 4 本の I/O は合計で最大 32mA までドライブすることができます 例えば この場合 Nol120 [PR2D] の I/O が 16mA ドライブする場合には 残り 3 本は合計 16mA までしかドライブすることができません PAD Pin Function Bank 1 PL2A 3 63 GND - 64 PB11D 2 65 NC - 66 PB11A 2 67 PB11B 2 68 PB15A 2 69 PB15B 2 70 PB15C 2 71 PB15D 2 72 PB18A 2 73 PB18B 2 74 NC - 75 GND GND NC VCCIO PR2D PR2C PR2B NC PR2A VCC PT17D 0 MachXO3L-1300ピンリスト Page: 18

19 2-9. ドライブ能力について 各バッファごとにサポートしているドライブ電流は 以下の通りです Diamond の SpreadsheetView もしくは lpf ファイルにてドライブ能力の設定が可能です Page: 19

20 2-10. 差動 LVDS I/F 対応について 差動 LVDS 入力について 差動 LVDS 入力は Top,Bottom,Right,Left 全てのバンクで使用可能です 使用する I/O は必ず差動ペアにしてください < 例 > 右のピンリストの PL2A,/PL2B のような差動ペアをご使用ください Diamond の SpreadsheetView では True 側をアサインしてください 差動 LVDS 入力で使用する場合 外部に終端抵抗 100Ω が必ず必要になります (Bank2 のみ内部終端抵抗あり ) 差動 LVDS 入力としてアサインされた場合 内部 Pull-up が無効となります その為 ケーブルが外れるようなアプリケーションの場合には Floating 防止の為 外部でフェイルセーフ処理が必要になります ギアリングロジックを使用した LVDS の出力は Top バンクの A/B ペア 入力は Bottom バンクの A/B ペアのみ対応しております PAD Pin/Ball Bank Dual Function Differential 1 PL2A 3 L_GPLLT_FB True_OF_PL2B 2 NC PL2B 3 L_GPLLC_FB Comp_OF_PL2A 4 NC PL2C 3 L_GPLLT_IN True_OF_PL2D 6 PL2D 3 L_GPLLC_IN Comp_OF_PL2C 7 NC PL3A 3 PCLKT3_2 True_OF_PL3B 9 PL3B 3 PCLKC3_2 Comp_OF_PL3A 外部終端抵抗 100Ω 必須 Diamond の SpreadsheetView もしくは lpf ファイルにて LVDS25 を設定してください (*LVDS25E は使用しないで下さい ) XO3 Device Page: 20

21 2-10. 差動 LVDS I/F 対応について 差動 LVDS 出力について 差動 LVDS 出力は真の LVDS と擬似 LVDS の 2 つが存在します 真の LVDS 出力 - TopBank(Bank 0) バンクのみ対応しております ピンリストで確認した場合 High Speed の項目に TRUE の記述がある I/O が真の LVDS 対応 I/O です 使用する I/O は 差動入力と同じく差動ペアにして下さい - 真の LVDS で使用する場合 VCCIO には 3.3V もしくは 2.5V を印加する必要がございます Diamond の SpreadsheetView もしくは lpf ファイルにて I/O Type を LVDS25 に設定してください - 外付け抵抗は必要ありません ( 終端抵抗のみ必要 ) PAD Pin/Ball Bank Dual Function Differential High Speed 1 PL2A 3 L_GPLLT_FB True_OF_PL2B PT17C 0 INITN True_OF_PT17D PT17B 0 - Comp_OF_PT17A TRUE 130 NC PT17A 0 - True_OF_PT17B TRUE 132 PT16D 0 - Comp_OF_PT16C PT16C 0 - True_OF_PT16D - 擬似 LVDS 出力 (Emulated LVDS) - Top,Bottom,Left,Right 全てのバンクで擬似 LVDS 出力として使用できます - 使用する I/O は 差動入力と同じく差動ペアにして下さい ( 真の LVDS と違い TRUE の記述がありませんが問題ございません ) - 擬似 LVDS で使用する場合 VCCIO には 2.5V を印加する必要がございます 必然的に LVDS を使用するこのバンクは 2.5V インターフェイスでしか使用できませんのでご注意ください - 開発ツール上では SpreadsheetView もしくは lpf ファイルにて I/O Type を LVDS25E に設定してください ドライブ電流は 8mA にしてください - 外付け抵抗が必要になります 詳細は次ページをご覧下さい Page: 21

22 2-12. 差動 LVDS I/F 対応について この外付け抵抗は擬似 LVDS のときのみ必要となります 真の LVDS の時には必要ありません この終端抵抗は真の LVDS でも 擬似 LVDS でも必要になります (Bank2 には終端抵抗が内蔵しているため外付けの終端抵抗は不要です ) Page: 22

23 2-13. その他差動 I/F について 差動 BLVDS ブロック図 * 詳細はデータシートをご覧下さい Page: 23

24 2-14. その他差動 I/F について 差動 LVPECL ブロック図 * 詳細はデータシートをご覧下さい Page: 24

25 2-15. その他差動 I/F について MIPI ブロック図 MIPI D-PHY Output Vccio:1.2V RL:50Ω Vccio:2.5V RH:330Ω MIPI D-PHY Input Vccio:1.2V RT:50Ω Vccio:2.5V 各信号線について 青 :LPモード時に使用するLVCMOS 信号赤 :HSモード時に使用するLVDS 信号 SpreadSheetView 上でのI/O Type 設定 Tx 側青 :LVCMOS12 赤 :LVDS25E Rx 側青 :LVCMOS12 赤 :LVDS 補足 LPモードを使用する際は 左図のように LVCMOS12 BufferとLVDS25E(Rx 側はLVDS) Bufferをwired-OR 接続します HSモードのみ使用される場合は LVCMOS12 Bufferをwired-OR 接続をせずに LVDS25E(Rx 側は LVDS) Bufferのみを使用するため 回路を簡略化することが可能です Page: 25

26 2-16. 同時スイッチングによるノイズの影響 SSO 一般的に CPLD FPGA において同時にスイッチングする出力ピン及び 双方向ピンを一箇所にかためてピンアサインをすると 出力ピンが同時スイッチングする事による瞬時電流の変動でグラウンド バウンスが発生し 回路が誤動作を起こす恐れがあります SSO ノイズ対策として以下の項目をご検討下さい SSO ノイズを考慮した設計方法 出力ピンを同一 I/O バンク 一箇所にかためない 出力ピンの Slew Rate を Slow に設定する (Diamond にて設定可能 ) 出力先の負荷容量を小さくする SSO Analyzer による SSO ノイズ発生有無の確認 SSO Analyzer のユーザマニュアルにつきましては 以下 URL にございます ual/diamond_ug_joriginal/d2.2/ugj-d20_ssoanalyzer_v22.pdf Page: 26

27 2-11. DDR 関連の処理 対応について ギアリングロジックプログラマブル I/O(PIO) セルに組み込まれているハードマクロです 高速汎用 DDR インターフェイスや 7:1LVDS のシリパラ / パラシリに使用されます MachXO3L デバイスでは I/O バンクの位置に応じて 4 種類のギアリング比を設定できます ( 詳細は TN1281 p.1 Table1 に記載がございます ) ギアリングロジックが使用できる Bank について (XO3L すべて ) 上記表で Yes と記載されている Bank にてギアリングロジックを使用することが可能です DDRx1 は全ての規模の MachXO3 デバイスで利用可能です Page: 27

28 XO3L のコンフィグレーションについて Page: 28

29 3-1. Dual-function I/O について Dual-function I/O は 下表の処理を行うことにより User I/O として使用する事が可能です ピン名方向機能 JTAGENB I Diamond の SpreadsheetView にて JATAG_PORT の設定を DISABLE にした場合 JTAGENB ピンの High/Low の制御により JTAG 専用ピンを汎用 I/O として切り替えて使用することが可能です Low :TDI, TDO, TMS, TCK は汎用 I/O として機能します High :TDI, TDO, TMS, TCK は JTAG 専用ピンとして機能します JTAG_PORT の設定を ENABLE に設定している場合 JTAGENB ピンは汎用 I/O となります PROGRAMN I PROGRAMNに印加される信号がHighからLowに遷移すると デバイスがコンフィグレーションモードになります デバイスのコンフィグレーション中には PROGRAMNピンをトグルしないでください ( 常時はPull-up しておきます ) INITN I/O SRAMのコンフィグレーション中にLowが出力されると SRAMの初期化中であることを表し またHighになるとデバイスがコンフィグレーション データの受け入れ準備ができたことを表します また INITNピンを外部からLowに保持することで デバイスはコンフィグレーション モードにはなりません コンフィグレーションの開始後は INITNはビットストリーム エラーの表示に使用されます コンフィグレーション中に何らかのエラーが検出されるとINITNピンはLowになり その後にDONEもLowのままになり デバイスがウェイクアップしません DONE I/O 電源の再投入またはPROGRAMNピンのトグルによって デバイス コンフィグレーションを起動すると DONEピンはコンフィグレーション中にLowになり コンフィグレーションが正常に完了するとHighに戻ります デバイスを初めてプログラムするとき またはデバイスを新しいパターンでプログラムするときは 初期化中に Lowにならず LowからHighへの遷移が発生しません MCLK/CCLK I/O スレーブSPI(SSPI) でコンフィグレーションするためのクロック入力 またはSPIおよびSPIモードでコンフィグレーションするためのクロック出力です SN I スレーブSPIのLowアクティブなチップ セレクト入力 CSSPIN I/O マスタSPIのLowアクティブなチップセレクト出力 SI/SISPI I/O スレーブSPIシリアルデータ入力およびマスタSPIシリアルデータ出力 SO/SPISO I/O マスタSPIシリアルデータ入力およびスレーブSPIシリアルデータ出力 SCL I/O スレーブI2Cクロック入力およびマスタI2Cクロック出力 SDA I/O スレーブI2Cデータ入力およびマスタI2Cデータ出力 Page: 29

30 3-2. Dual-function I/O を通常 I/O として使用する方法 JTAGEN Diamond の Global Preferences より JTAG_PORT を ENABLE (Default) にすることで通常 IO として使用可能です DISABLE にした場合 JTAGENB を外部から High にできなければ JTAG からの書込みが出来なくなるので注意が必要です そのため DISABLE にする場合には JTAGENB ピンは 0Ω 抵抗を GND 間に配置し かつプルアップ抵抗を実装出来るようにしておき 万が一の時は JTAG ポートをコンフィグに使用できるようにしておくことを推奨します p.38 に推奨回路の記載がございます TDI, TDO, TMS, TCK Diamond の Global Preferences より JTAG_PORT を DISABLE に設定し JTAGEN を Low にすることで通常 IO として使用可能です JTAGEN が High の時には TDI/TDO/TMS/TCK はコンフィグ専用ピンになります PROGRAMN, INITN, DONE Diamond の Global Preferences より SDM_PORT を DISABLE (Default) に設定していただくことで PROGRAMN / INITN / DONE のピンは通常 IO として使用可能です MCLK/CCLK, SN, SI/SPISI, SO/SOSPI, CSSPIN Diamond の Global Preferences より SLAVE_SPI_PORT MASTER_SPI_PORT を DISABLE (Default) に設定していただくことで MCLK/CCLK SN SI/SPISI SO/SOSPI CSSPIN のピンは通常 IO として使用可能です SCL, SDA Diamond の Global Preferences より I2C_PORT を DISABLE (Default) に設定していただくことで SCL / SDA のピンは通常 IO として使用可能です Page: 30

31 3-4. 注意事項 1 JTAG インタフェースを設けることを推奨します TCK は 2.2KΩ で外部プルダウン TMS は 2.2KΩ で外部プルアップすることを推奨します 2 JTAG インターフェイス未使用を選択した場合 (JTAG_PORT=DISABLE) JTAGEN ピンは 0Ω 抵抗を GND 間に配置し かつプルアップ抵抗を実装出来るようにしておき 万が一の時は JTAG ポートをコンフィグに使用できるようにしておくことを推奨します 3 PROGRAMN,INITN,DONE ピンを有効にすることを推奨します Vccio0( と同タイミングで立ち上がる電源 ) に 2.2kΩ - 4.7kΩ でプルアップしてください 4 PROGRAMN ピンは再コンフィグレーション時にトグルする以外の目的には使用しないでください 電源立ち上がり後トグルする場合は コンフィグレーション完了を示す DONE がアサートされた後 数十 ~ 数百 msec 以上の十分な時間を確保します DONE が Low の状態で PROGRAMN をトグルしてはいけません また 電源投入時には PROGRAMN を Low 固定にしてはいけません 電源投入時に PROGRAMN が Low に固定されていますとコンフィグレーションに失敗してしまう可能性があります Page: 31

32 3-4. 注意事項 5 電源起動時 SN ピンを Low にしないでください コンフィグレーションに失敗してしまう可能性があります 6Dual function ピンはできるだけユーザ I/O として使用しないことを推奨します 特に PROGRAMN については ユーザ IO として使用する場合でも 電源起動時には PROGRAMN としての機能が有効になるのでユーザー I/O として使用しないことを強く推奨いたします Page: 32

33 3-5. その他 I/O ポート処理全般 1 PLL に用いるクロック入力信号は GPLL ピンを使用します プライマリクロック用には PCLK ピンを使用します 2 未使用の汎用 IO につきましては内部でプルダウンされた Hi-z となっていますので全てオープンにします 4 5V トレラントには対応しておりません Page: 33

34 3-6. XO2 と XO3L デバイスの違いについて 以下の様に XO3L では UFM(User Flash Memory) の代わりに 2 回まで書き込む事が可能な NVCM (Non-Volatile Configuration Memory) を搭載しております 尚 XO3L には UFM( User Flash Memory) はございません MachXO3L MachXO2 Page: 34

35 3-7. Global Preference Setting について 1 sysconfig 設定項目内容 SDM PORT SLAVE_SPI_PORT I2C _PORT MASTAR_SPI_PORT COMPRESS_CONFIG CONFIGURATION MY_ASSP ONE_TIME_PROGRAM CONFIG_SECURE MCCLK_FREQ DISABLE( Default ) PROGRAMN PROGRAMN_DONE PROGRAMN_DONE_INITN DISABLE( Default ) ENABLE DISABLE( Default ) ENABLE DISABLE( Default ) ENABLE EFB_USER ON( Default ) OFF CFG( Default ) EXTERNAL OFF( Default ) ON OFF( Default ) NVMEM NVMEM_SRAM OFF( Default ) ON 2.08(Default) ~133 SDM モードの際の専用コンフィグレーションピンの選択です PROGRAMN ピンのみ有効 PROGRAMN DONE ピンのみ有効 PROGARMN ININT DONE ピンのみ有効 外部 SlaveSPI ポートを利用して 内蔵 Flash にプログラムまたはリードする際に有効にします I2C によるコンフィグレーションをアクセスする際には ENABLE にします DISENABLE の場合 専用ピン SDA/SCK はユーザー I/O として使用できます 外部から SPI 経由で Bitstream ファイルを SRAM へコンフィグレーションする際に ENABLE にします DISENABLE の場合専用ピンはユーザー I/O として使用出来ます また EFB を利用して MasterSPI を構成する場合には EFB_USER を選択します ON の場合 Bitstream ファイルを圧縮します ソフトウエアは 圧縮した Bitstream ファイルを生成します CFG に設定した場合 EBR の初期値等を含めたコンフィグレーション Bitstream ファイルを内蔵 Flash に格納します EXTERNAL に設定した場合 外部メモリに EBR の初期値を含めた bitstream ファイルを格納します ON に設定した場合 JTAG のデバイス IDCODE をユーザ側のカスタムコードに変更することが出来ます NVMEM NVCM だけリードバック不可設定 NVMEM_SRAM NVCM と SRAM のリードバック不可設定 ON の場合 sysconfig や JTAG ポート等からのリードバックを防ぎます 尚 この場合においても USERCODE エリアはリード可能です OFF の場合 リードバックが可能となります マスタクロックの周波数を設定できます Default2.08MHz となっております Diamond3.1 現在 Page: 35

36 3-8. Global Preference Setting について 2 sysconfig 設定項目内容 JTAGPORT ENABLE_TRANSFR SHAREDEBRINT MUX_CONFIGURATION_PORT ENABLE(Default) DISABLE DISABLE(Default) ENABLE DISABLE(Default) ENABLE DISABLE(Default) ENABLE ENABLE の場合 JTAG 専用ピン (TDI/TDO/TMS/TCK) が有効になります 専用ピン JTAGENB はユーザー I/O となります DISABLE の場合 JTAG 専用ピンはユーザー I/O として有効になります 専用ピン JTAGENB が有効となり JTAG 専用ピン (TDI/TDO/TMS/TCK) はユーザー I/O として使用可能です JTAG を有効にする場合には JTAGENB に High を入力します ENABLE の場合 TRANSFR 機能を有効にします ピンの現在の論理値 ( データおよびトライステート ) を保持することが出来ます ( コンフィグレーション中 ) ENABLE に設定すると 複数の EBRs で構成されたメモリに同じ初期化ファイルを共有可能にします これは 共通の初期化値コピーのみを保存することで ビットストリームのサイズを減少させることが出来ます 全てのコンフィギュレーション専用ポートをユーザー IO 追加のために 無効にすることができます 左記の様に Diamond Spreadsheet View 上の Global Preference にて 設定が出来ます Diamond3.1 現在 Page: 36

37 4-1. SDM Mode について SDM Mode は 内部に FLASH,NVCM を内蔵しているデバイスのみの機能です FPGA の外部にコンフィギュレーションのための不揮発性メモリを使わずに 内蔵 のフラッシュ ROM からコンフィギュレーションを実行します Self Downloadコンフィグレーションモードの利点は以下です Speed : XO3Lは数 ms 以内に起動します Security: コンフィグレーションデータが内部で扱われるため 外部から読み出される心配がございません Reduced Cost: MachXO3Lのプログラムのために外付けのROMを購入する必要が無くなります Reduced Board Space : 外部 ROM 分の省スペースが見込めます Page: 37

38 4-2. JTAG Mode について 基板上の JTAG 用コネクタと FPGA の JTAG 専用ピンを接続し Diamond Programmer と専用ダウンロードケーブルを用いて FPGA をコンフィギュレーションできます また 外部の汎用 SPI Flash に対しても FPGA 経由でプログラミングすることができます JTAG _PORT = ENABLE XO3L- E ( Vcc=1.2V Type ) JTAG _PORT = DISABLE XO3L- E ( Vcc=1.2V Type ) 左図は XO3L の E ( コア電源 1.2V) を使用し Vccio が全て 3.3V で使用する場合の例 < 再度ダウンロードを行う場合 > JTAGENB と GND をつなぐ 0Ω 抵抗を外す => JTAGENB が High となり TDO/TDI/TMS/TCK は 専用ピンとなります Page: 38

39 4-3. MSPI Mode について サードパーティの汎用 SPI Flashを用いて FPGAがMasterとなりコンフィギュレーションを実行するModeです 1. 外部 SPI FlashメモリにBITSTREAMファイルの書き込みを行います 2. DiamondのSpreadsheetView 上で MASTER_SPI_PORT を ENABLE CONFIGURATIONを EXTERNAL に設定し生成した JEDECファイルをXO3Lに書き込みます 3. 電源を再投入またはPROGRAMNピンをトグルすることでXO3LはSPI Flashメモリからコンフィグレーションを行います 下図 SPI Flashメモリのピン名はベンダにより異なります プルアップ / プルダウンは外部デバイスに依存します SPI PROMの電源とMCLK,CSSPINは Vccio2でプルアップしてください Vccio2 Vccio0 参考回路例 GND 4.7kohm SPI PROM TMS MachXO3L MASTER Option 4.7kohm From JTAG TDI TDO TCK JTAG SRAM 2.2kohm C MCLK Configuration Q D /CS SISPI SPISO CSSPIN SPI Controller Logic MachXO3L Vccio0 Logic From CPU PROGRAMN INITN DONE OPTION Page: 39

40 4-4. SSPI Mode について スレーブ SPI インターフェースを用いて 外部マスター (CPU や CPLD など ) が FPGA に対してデータを送信することでコンフィギュレーションを実行する Mode です コントローラ (MCU など ) から SSPI を介して (Offline/Transparent Mode で )SRAM/NVCM/Feature ROW を書き換えることが可能です プルアップ / プルダウンは外部デバイスに依存しますが 特に CCLK/CSN はノイズに留意してください 1. Diamond の SpreadsheetView にて SLAVE_SPI_PORT を ENABLE (Default DISABLE ) に設定し XO3L に書き込みます ( 未書き込みの XO3L は SLAVE_SPI_PORT が ENABLE になっている状態と同様です ) 2. SPI Master から XO3L の NVCM/SRAM にコンフィグレーションデータの書き込みを行います 3. PROGRAMN ピンをトグルするか SSPI ポートから REFRESH コマンドを与えることで XO3L の再コンフィグレーションを行います 尚 CPU 側の Lattice から SSPI Embedded C ソースが用意されておりますのでご利用下さい 参考回路例 Vccio0 4.7kohm uc MASTER MachXO3L SLAVE Option NVCM/SRAM 4.7kohm CLK CCLK SPI Controller DI DO CSN SI SO SN SPI Controller Configuration Logic MachXO3L PROGRAMN INITN DONE OPTION Logic Page: 40

41 4-5. I2C Mode について コントローラ (MCUなど) からI2C Primaryを介して (Offline/Transparent Modeで )SRAM/NVCM/Feature ROWを書き換えることが可能です I2C(10bit/7bit Addressモード ), 400kHzまで対応 プルアップ/ プルダウンは外部デバイスに依存します 1. DiamondのSpreadsheetViewにてI2C_PORTを ENABLE (Default DISABLE ) に設定しXO3Lに書き込みます ( 未書き込みのXO3LはI2C_PORTが ENABLE になっている状態と同様です ) 2. I2C MasterからXO3LのNVCM/SRAMにコンフィグレーションデータの書き込みを行います 3. PROGRAMNピンをトグルするか I2CポートからREFRESHコマンドを与えることで XO3Lの再コンフィグレーションを行います 尚 CPU 側のLatticeからI2C Embedded Cソースが用意されておりますのでご利用下さい 参考回路例 Vccio0 4.7kohm pullup uc MASTER MachXO3L SLAVE Option NVCM/SRAM SCL SCL 4.7kohm pullup I2C Controller SDA SDA I2C Primary Controller Configuration Logic PROGRAMN INITN DONE MachXO3L Logic OPTION SLAVE_ADDRESS = yyyxxxxx00 Page: 41

42 4-6. Dual Boot Mode について 参考回路例 Dual Boot Mode は Master SPI と SDM Mode の両方を使用したコンフィギュレーションの信頼性を高めるためのソリューションです まず XO3L は外部の SPI Flash から Primary Image data にてコンフィグレーションを行います 万が一 コンフィグレーションが失敗した場合には 内部の NVCM から Golden Image Data にてコンフィグレーションを開始します コンフィグレーションに失敗したことは以下 2 点により内部で判断されます 1. Bitstream ファイルの CRC Error 発生時 2. ロードする際のタイムカウンタのエラー発生時 Vccio0 GND Golden Image Data For XO kohm SPI PROM TMS MachXO3L MASTER Option 4.7kohm Primary From JTAG TDI TDO TCK JTAG NVCM/ SRAM 2.2kohm Image data For XO3L 1 C Q D /CS MCLK SISPI SPISO CSSPIN SPI Controller Configuration Logic MachXO3L Vccio0 Logic From CPU PROGRAMN INITN DONE OPTION Page: 42

43 4-7. 参考回路 5JTAG 回路のチェイン構成例 4.7K-10KΩ 4.7KΩ TDO Lattice 社 FPGA Lattice 社 CPLD TCK TMS TDI TDO Lattice 社 FPGA Lattice 社 CPLD TCK TMS TDI Vccj ISP コネクタ Vcc GND TCK TMS TDI TDO Vcc,GND も繋ぐ必要があります 5 個以上のデバイスをチェインする場合 もしくは配線長が 1m を超える場合には TCK TMS の信号が JTAG コネクタより遠くなるほど弱くなり ノイズがのってしまう恐れがありますのでデバイス 5 個毎にバッファを 1 つ追加して下さい トレースが長い JTAG チェーンは 可能であれば TDI TDO( シリアルライン ) にそれぞれ Damping 抵抗 (0 ohm) を付加してください 1 デバッグの際 抵抗を外せば JTAG チェーンを容易に分けられます 2 反射等によるノイズを抑えたい場合 抵抗値を変えればよいです その他 詳細につきましては 弊社作成のマニュアル JTAG 基板設計時資料 をご覧下さい Page: 43

44 4-8. バージョン管理 Revision: Date: Author: Modify or Add: /08/29 ishigaki Initial Page: 44

PowerPoint Presentation

PowerPoint Presentation Page: 1 Lattice-XO2 基板設計時資料 はじめに 本資料は Lattice 社 XO2 の基板設計時の注意事項 使用時の注意事項をまとめたものです 実際の動作等詳細 最終の確認は 別途データシート テクニカルノートを参照頂けるようお願い申し上げます Lattice 社データシートと本資料との間に差異があった場合には Lattice 社データシートを正としお取り扱い下さい Page:

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

PowerPoint Presentation

PowerPoint Presentation SSPI Embedded System ユーザーズマニュアル Tecstar Page: 1 Agenda 1. SSPI Embedded System 概要 2. SSPI Embedded の特徴 3. Deployment Toolの起動方法について 4. SSPI Embedded 用意されているファイル 5. ソースファイルについて 6. SSPI Embedded ユーザーフロー 7.

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

基盤設計時資料

基盤設計時資料 Rev.1.1 JTAG_Board_Design_Rev1.1.ppt Page: 1 JTAG_Board_Design_Rev1.1.ppt Page: 2 JTAG_Board_Design_Rev1.1.ppt Page: 3 JTAG_Board_Design_Rev1.1.ppt Page: 4 JTAG_Board_Design_Rev1.1.ppt Page: 5 ispjtag

More information

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05)

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05) アプリケーションノート : ファミリ XAPP453 (v1.0) 2005 年 2 月 2 日 3.3 信号を使用した のコンフィギュレーション 概要 このアプリケーションノートでは Spartan -3 および Spartan -3L の 3.3 コンフィギュレーションについて説明しています ここでは コンフィギュレーションモード別に完全な接続図を示しており インプリメンテーションに簡単に利用できる便利なソリューションです

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

, 0 ピンコネクタ (JTAG 接続 ) ピン配列コネクタ型番 SAMTEC 製 SHF-1-01-L-D-TH 表 0 ピンコネクタ (JTAG 接続 ) ピン配列 コネクタピン番号 CPU 信号名 備考 1 VTRef IO 電源 TMS 3 GND 4 TCLK 5 GND 6 TDO 7

, 0 ピンコネクタ (JTAG 接続 ) ピン配列コネクタ型番 SAMTEC 製 SHF-1-01-L-D-TH 表 0 ピンコネクタ (JTAG 接続 ) ピン配列 コネクタピン番号 CPU 信号名 備考 1 VTRef IO 電源 TMS 3 GND 4 TCLK 5 GND 6 TDO 7 1 ARM Cortex Debug ETM プローブ取扱説明書 本製品はハーフピッチ /0 ピン Cortex Debug コネクタ用のプローブになります ターゲットボードに搭載されたコネクタのピンに合わせて付属の ピンまたは 0 ピンケーブルで接続してください また 本製品は JTAG 及び SWD に対応しています 接続に関しては 各機能代表的な接続を後述の接続図や JP 設定を参照してください

More information

UMB-CP2114 User's Manual

UMB-CP2114 User's Manual UMB-CP2114 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

Report Template

Report Template 日本語マニュアル 第 16 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 不明箇所について又は疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Report Template

Report Template Lattice ECP3 DDR3 メモリ I/F 1 目次 1 このドキュメントの概要... 4 2 DDR_MEM モジュールを使用する場合の注意点... 5 2.1 PLL の配置およびクロック入力ピンに関する注意事項... 5 2.2 クロック位相調整回路のタイミング制約と配置指定... 6 2.2.1 CSM の配置指定... 6 3 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項...

More information

FPGA HW Design GL

FPGA HW Design GL Lattice FPGA 実装設計と ボード設計の ガイドライン 本ドキュメントは主にラティス代理店 FAE を対象としています - 1 - 目次 1 はじめに 5 2 Lattice FPGA 各ファミリの電源設計要件 6 2.1 LatticeECP3 ファミリ... 6 2.1.1 電源系統と推奨電圧範囲... 6 2.1.2 電源のランプレート ( 傾き ) 立ち上がり時間... 6 2.1.3

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

2. 仕様 電源 :USB バスパワー (USB 入力の 5V 電源を使用します ) 出力 : 3.5mm ステレオジャック アナログステレオ出力 最大 20mArms 対応ヘッドホンインピーダンス 1Ω~500Ω RCA ピンジャック アナログ 2ch 出力 (L R) ラインレベル ヘッドホンア

2. 仕様 電源 :USB バスパワー (USB 入力の 5V 電源を使用します ) 出力 : 3.5mm ステレオジャック アナログステレオ出力 最大 20mArms 対応ヘッドホンインピーダンス 1Ω~500Ω RCA ピンジャック アナログ 2ch 出力 (L R) ラインレベル ヘッドホンア AK4495SEQ 搭載 USB DAC (I2C 付 ) 簡易取扱説明書 ( 呼称 :AK4495HA2) 2018-01-21 rev02 1. はじめに 本品は USB 接続のハイレゾ対応 D/A コンバータです パソコンなどで再生した音楽を出力します 特徴として 旭化成エレクトロニクスのハイエンド DAC AK4495SEQ を搭載してます また 内部に USB I2S 変換ドーターカードを搭載しています

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

XCM-025Z Series User's Manual v1.1

XCM-025Z Series User's Manual v1.1 Spartan-7 FGGA484 FPGA ボード XCM-025Z シリーズユーザーズマニュアル Ver.1.1 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 1 1. 共通ピンについて 重要... 2 2. 製品の内容について... 3 3. 開発環境... 3 4. 仕様... 4 5. 製品説明... 5 5.1. 各部名称... 5 5.2. ブロック図...

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

JTAGプローブ技術資料 RX編Rev.7

JTAGプローブ技術資料 RX編Rev.7 RX ファミリ編 2018. 4:Rev.7 ビットラン株式会社 www.bitran.co.jp ご注意 1. 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2. 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3. 当社の許可無く複製 改変などを行う事は出来ません 4. 本書及びプログラムの内容について万全を期して作成いたしましたが

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Spartan-6 FPGA ボード XCM-018Z ユーザーズマニュアル Ver.1.3 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 1 1. 共通ピンについて 重要... 2 2. 製品の内容について... 2 3. 開発環境について... 3 4. 仕様... 3 5. 製品説明... 4 5.1. 各部名称... 4 5.2. ブロック図... 5 5.3.

More information

KEIm-25ヘッダーボードハードウェアマニュアル

KEIm-25ヘッダーボードハードウェアマニュアル Ver.1.0 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください 本製品には一般電子機器用部品が使用されています

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は に掲載され

このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は   に掲載され このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は http://www.dgway.com/sdlink.html に掲載されていますので ダウンロードし参照してください [ 梱包内容 ] SDLink 本体 1 ケ microsd(sdhc)

More information

1. ネットワーク経由でダウンロードする場合の注意事項 ダウンロード作業における確認事項 PC 上にファイアウォールの設定がされている場合は 必ずファイアウォールを無効にしてください また ウイルス検知ソフトウェアが起動している場合は 一旦その機能を無効にしてください プリンターは必ず停止状態 (

1. ネットワーク経由でダウンロードする場合の注意事項 ダウンロード作業における確認事項 PC 上にファイアウォールの設定がされている場合は 必ずファイアウォールを無効にしてください また ウイルス検知ソフトウェアが起動している場合は 一旦その機能を無効にしてください プリンターは必ず停止状態 ( ファームウェアのダウンロード手順 概要 機能変更や修正のために プリンターを制御するファームウェアを PC から変更することが可能です ファームウェアはホームページ (http://www.jbat.co.jp) から入手可能です ファームウェアは プリンター本体制御用のファームウェアと Interface Card 用ファームウェアの 2 種類で それぞれ独自にダウンロード可能です プリンター本体制御用のファームウェアは

More information

オンボード・フラッシュ・プログラマ FP-10技術資料

オンボード・フラッシュ・プログラマ FP-10技術資料 2019-02-28 本資料は以下の内容で構成されています オンボード フラッシュ プログラマ FP-10 技術資料 ( 第 13 版 ) FP-10 を使用する前に参照していただく資料です FP-10 ユーザーズ マニュアル ( 第 59 版 ) - 抜粋版 ( ) FP-10 側ターゲット インターフェース仕様について記載されています FP-10 ユーザーズ マニュアルより 第 7 章ターゲット

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

PowerTyper マイクロコードダウンロード手順

PowerTyper マイクロコードダウンロード手順 必ずお読みください Interface Card 用マイクロコードを Ver 1.3.0 をVer 1.3.1 以降に変更する場合 または Ver 1.4.5 以前のマイクロコードを Ver 1.5.0 以降に変更する場合 ダウンロード前後に必ず以下の作業を行ってください ( バージョンは Webブラウザ上または付属ソフトウェア Print Manager のSystem Status 上で確認できます

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

TN Using User Flash Memory and Hardened Control Functions in MachXO2 Devices Reference Guide

TN Using User Flash Memory and Hardened Control Functions in MachXO2 Devices Reference Guide 2015 年 5 月 Lattice Diamond 日本語ガイドライン 第 21 章 本章ではデバイスの書き込みツールであるプログラマー (Programmer) と 付随するユーティリティ ツールについて記述します 本節は Diamond 組み込みの場合についてのオペレーションを前提に記述しています スタンドアローン版ではオペレーションや表示が全く同じではない場合がありますのでご留意ください 21.1

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

JTAGプローブ技術資料SH編 Rev.16

JTAGプローブ技術資料SH編 Rev.16 SuperH RISC engine ファミリ編 2015. 3:Rev16 www.bitran.co.jp ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可無く複製 改変などを行う事は出来ません 4 本書及びプログラムの内容について万全を期して作成いたしましたが

More information

MS5145 USB シリアル エミュレーション モードの設定

MS5145 USB シリアル エミュレーション モードの設定 MS5145-AC-U 補足設定 2010 年 7 月株式会社エイポック http://www.a-poc.co.jp/ USB シリアルエミュレーションモードの設定 1. 概要 USB シリアル エミュレーション モードとはバーコードリーダーを USB で接続していながら RS-232C 接続機器としてパソコンに認識させる設定です 読み取ったバーコード データは COM ポートにシリアルデータとして入力します

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc

Microsoft Word - COP_MasterSim_Installation_Supplement_A00.doc Anybus CANopen Master Simulator インストール手順補足説明資料 Version: A00 エイチエムエス インダストリアルネットワークス株式会社 222-0033 神奈川県横浜市港北区新横浜 3-19-5 新横浜第 2 センタービル 6F TEL : 045-478-5340 FAX : 045-476-0315 URL www.anybus.jp EMAIL セールス

More information

8051 개발보드 메뉴얼

8051 개발보드 메뉴얼 ㄴㄴㄴ標準 U-STYLE ボード (Model:DM-USTYLE V1.0 ) マニュアル 改訂日 : 2015 年 11 月 24 日 1. Arduino At Heartプロトタイプ標準 U STYLEボード (DM-USTYLE V1.0) のご紹介 アドゥイノウノブートローダが書き込んだATMEGA328P-PUを使用 Arduino At Heart( ) プロトタイプのボードの互換コネクタと

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

MINI2440マニュアル

MINI2440マニュアル Cortex-M3/LPC1343 USB マイコンボード マニュアル 株式会社日新テクニカ http://www.nissin-tech.com info@nissin-tech.com 2010/2/1 copyright@2010 新バージョン 1 第一章 Cortex-M3/LPC1343 USBマイコンボードの概要...3 第二章 USBブートローダー...4 第三章 RS232 で書き込み...7

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

UCB User's Manual

UCB User's Manual UCB-21489 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

PLD-XC2S-A

PLD-XC2S-A PC104 シリーズ FPGA ボード [ PC/104 ] ( XC2S150 [XILINX] 搭載 ) PLD-XC2S-A 取扱説明書 梱包内容について 本製品は 下記の部品で構成されています 万が一 不足していた場合には すぐにお買い求めの販売店に御連絡ください ボード本体 1 枚 スペーサ (16mm オスメスネジ 金属) 4 個 ビス 4 個 ナット 4 個尚 環境保全 ペーパーレス推進のため

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

Microsoft Word - LVDS-R仕様書_第1版_.doc

Microsoft Word - LVDS-R仕様書_第1版_.doc LVDS-CMOS 変換基板 LVDS-R 取り扱い説明書 ( 第 1 版 ) 2015/10 1/17 目次 実装 組み込み上のご注意 実装 組み込み上のご注意 保証 免責事項 P3 P4 製品の概要 特長 1. オプション ( 別売り ) P5 2. 基板各部コネクタ の名称とはたらきと基板寸法図 P5 3. 使用目的 用途 P7 4. 主な特長 P8 基本仕様 1. 絶対最大定格 P9 2.

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

プレインストールOSリカバリ手順書

プレインストールOSリカバリ手順書 CPU-SB300 シリーズ プレインストール OS リカバリ手順書 OS の起動ができなくなった場合のリカバリ手順について説明します 注意 商品添付のリカバリメディアをお使いになる前に 添付の使用権許諾契約書 ( 各 OS の END USER LICENSE AGREEMENT および Easy Restore 使用権許諾契約書 ) をよくお読みいただき 同意いただける場合に限り 本リカバリメディアをご使用ください

More information

Microsoft PowerPoint - DIX9211_Mega8_R24.pptx

Microsoft PowerPoint - DIX9211_Mega8_R24.pptx Soft Mode コントローラ 説明書(Rev.2.4) 2011-2012 mi-take System72 soft mode DAI/DAC用 Soft Mode 制御基板 DIX基板 この度は Soft Mode 制御基板 & DAI基板セットをお買い上げ頂きありがとうございます 組み立て前に 本説明書をご一読いただきますようお願いいたします DIX基板 Soft Mode 制御基板 ケーブルやソケット,LED等の色が写真と異なる場合があります

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

TWE-Lite R 取扱説明書

TWE-Lite R 取扱説明書 USB アダプター TWE-Lite R( トワイ ライター ) TWE-Lite R( トワイ ライター ) は TWE-Lite DIP( トワイライト ディップ ) にソフトウエアを書き込むためのライターです USB 接続でパソコンから TWE-Lite DIP 内蔵のフラッシュメモリーにソフトウエアを転送することができます ソフトウエアを更新し機能追加することや 他のソフトウエアや自作ソフトウエアを書き込むことができます

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Full VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Deployment Toolの起動方法について 6. VME

More information

RY_R8C38ボード RY-WRITER基板 自動書き込み・実行解説マニュアル

RY_R8C38ボード RY-WRITER基板 自動書き込み・実行解説マニュアル RY_R8C38 ボード RY-WRITER 基板自動書き込み 実行解説マニュアル 第.0 版 205.04.20 株式会社日立ドキュメントソリューションズ 注意事項 (rev.6.0h) 著作権 本マニュアルに関する著作権は株式会社日立ドキュメントソリューションズに帰属します 本マニュアルは著作権法および 国際著作権条約により保護されています 禁止事項 ユーザーは以下の内容を行うことはできません

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

EB-RL7023+SB/D2

EB-RL7023+SB/D2 RL7023 Stick/IPR ユーザーズ マニュアル テセラ テクノロジー株式会社 Rev :2.0 2014/9/30-1 - 目次 1 本書の概要... 3 2 PC 動作環境の説明... 4 3 USB ドライバのインストール... 4 3.1 RL7023 Stick の接続... 4 3.2 USB ドライバのインストール... 4 3.3 USB ドライバのダウンロード... 5 4

More information

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S 反転型チャージポンプ IC Monolithic IC MM3631 概要 MM3631X は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの SOT-26B (2.9 2.8 1.15mm) の小型パッケージを採用しています CE 端子を内蔵しており スタンバイ時は 1 μ A 以下と待機時電流を低減しています

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 C

SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 C SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 COM ポート : 非同期シリアル通信 ) を使用して SD カードのアクセスを試験することができます

More information

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカプラ 750-306 を使ったリモート I/O システムとの接続に関するコンフィグレーション方法について説明いたします 2. システム構成本書で用いるシステム構成例の内容を以下の表に示します

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET 1 1. 適用 本は SiC-MOSFET 一体取付形 2 回路ゲートドライバー について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET パワーモジュール BSM180D12P2C101 に直接実装できる形状で SiC-MOSFET のゲート駆動回路と DC-DC コンバータを 1 ユニット化したものです SiC-MOSFET

More information

MS916 バッチ操作ガイド FW バージョン 0.52 向け バッチ操作の基本 MS916 のバッチ操作について バッチ操作では 読取ったバーコードデータはすべて 不揮発性のメモリ (1MB ROM JAN-13 約 50,000 件 ) に保存されます メモリに保存されたデータは任意のタイミング

MS916 バッチ操作ガイド FW バージョン 0.52 向け バッチ操作の基本 MS916 のバッチ操作について バッチ操作では 読取ったバーコードデータはすべて 不揮発性のメモリ (1MB ROM JAN-13 約 50,000 件 ) に保存されます メモリに保存されたデータは任意のタイミング バッチ操作の基本 MS916 のバッチ操作について バッチ操作では 読取ったバーコードデータはすべて 不揮発性のメモリ (1MB ROM JAN-13 約 50,000 件 ) に保存されます メモリに保存されたデータは任意のタイミングで Bluetooth 通信または USB ケーブルを使用してホストへ送信することができます データの送信は スキャナ側の操作でのみ可能です ホスト側からの操作でのデータ送信には対応しておりません

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2 2 1 2 2 224 48 7 11 15 12 2 2 48 21 1 4 IEEE1394 USB1.1 USB2. 1 2 1.5 12 1.5 12 (Low speed) (Full speed) 4 48 (High speed) 5 5 * 29 年には USB3. がリリースされる予定で 5Gbps の SuperSpeed が追加される 224 4824 TP4 TP3 TP2

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer)

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer) RX 開発環境の使用方法 (CS+ Renesas Flash Programmer) 第 2 版 2018 年 03 月 13 日 1. 概要 1.1 概要 本アプリケーションノートでは RX シリーズで使用する開発環境についての解説を行います 解説を行う開発環境は以下の 3 つです 1.RX ファミリ用 C/C++ コンパイラパッケージ 2.Renesas Flash Programmer(RFP)

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

GenieATM 6300-T / 6200-T シリーズ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からロ

GenieATM 6300-T / 6200-T シリーズ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からロ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からログインする 工場出荷時は装置の IP アドレスが設定されていないので Telnet, SSH ではログインできません この資料では シリアルポートを使用する場合の方法を説明します

More information

RS-422/485 ボード取扱説明書 RS-422/485 ボード取扱説明書 Revision 0.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 2009 Core Staff Co.,Ltd. All Rights Reserved - 1 of 17

RS-422/485 ボード取扱説明書 RS-422/485 ボード取扱説明書 Revision 0.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 2009 Core Staff Co.,Ltd. All Rights Reserved - 1 of 17 Revision.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 29 Core Staff Co.,Ltd. All Rights Reserved - of 7 目次 はじめに 3. 概要 4 2. 主要緒言 5 3. 各種インターフェース機能説明 8 4. 外形寸法 4 Copyright 29 Core Staff Co.,Ltd. All Rights Reserved

More information

elm73xxxxxxa_jp.indd

elm73xxxxxxa_jp.indd 概要 ELM73xxxxxxAは 遅延機能付きの CMOS 電圧検出器 ICであり 遅延時間は外付けコンデンサで調整可能です また 非常に低い消費電流 (Tpy.26nA) で動作します ELM73xxxBxxAシリーズはマニュアルリセット機能付きタイプで いつでも手動でリセットすることができます 出力スタイルは N-chオープンドレイン出力と CMOS 出力の 2つがあります 電源電圧 ddは検出電圧以下に低下したとき

More information

ファイル メニューのコマンド

ファイル メニューのコマンド CHAPTER43 次のオプションは Cisco Configuration Professional(Cisco CP) の [ ファイル ] メニューから利用できます 実行コンフィギュレーションを PC に保存 ルータの実行コンフィギュレーションファイルを PC 上のテキストファイルに保存します 43-1 設定をルータに配信する 第 43 章 設定をルータに配信する このウィンドウでは Cisco

More information

ACM030R1-MAN-JP-V10.xbd

ACM030R1-MAN-JP-V10.xbd MAX10 FPGA ボード ACM-030 ユーザーズマニュアル Ver.1.0 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 2 1. 製品の内容について... 2 2. 開発環境... 2 3. 仕様... 3 4. 製品概要... 4 4.1 各部の名称... 4 4.2 ブロック図... 5 4.3 電源入力... 5 4.4 クロック... 5 4.5

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft Word - README_2011_FW.txt

Microsoft Word - README_2011_FW.txt ====================================================================== NT2011A-A001 WindowsServer2003 SP1 インストール向け NX7700i 用差分 FW モジュール (efiflash.iso) 適用方法 ======================================================================

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

[DS50-N A] BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユ

[DS50-N A] BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユ BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリーに格納されています このユーティリティで定義される設定情報は チップセット上の CMOS RAM と呼ばれる特殊な領域に格納 されます この設定情報は マザーボードに搭載されているバックアップ電池により保存されます

More information

改訂履歴版数 日付 内容 担当 第 1 版 2017/06/21 初版作成 山田 第 2 版 2018/02/19 表紙 ヘッダーのボード名を NV013-B から GMI に変更 柏木 第 3 版 2018/03/19 差動入力改造の説明を追加 山田 2

改訂履歴版数 日付 内容 担当 第 1 版 2017/06/21 初版作成 山田 第 2 版 2018/02/19 表紙 ヘッダーのボード名を NV013-B から GMI に変更 柏木 第 3 版 2018/03/19 差動入力改造の説明を追加 山田 2 MAX96706 GMSL デシリアライザ基板 (NV013-B) ハードウェア仕様書 第 3 版 株式会社ネットビジョン 改訂履歴版数 日付 内容 担当 第 1 版 2017/06/21 初版作成 山田 第 2 版 2018/02/19 表紙 ヘッダーのボード名を NV013-B から GMI-96706 に変更 柏木 第 3 版 2018/03/19 差動入力改造の説明を追加 山田 2 目次 1.

More information

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS スプリット演算器 MFS2 用コンフィギュレータソフトウェア MFS2CFG バージョン 0.02 取扱説明書 1/10 NM-9307 改 2 1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E04 (v2.5) 2006 年 12 月 14 日 XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S400 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

Report Template

Report Template Lattice Diamond 日本語マニュアル 第 8 章 Spreadsheet View での 制約設定 ( 本 Lattice Diamond 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます

More information