LatticeXP ファミリ・データシート

Size: px
Start display at page:

Download "LatticeXP ファミリ・データシート"

Transcription

1 MachXO DS1002 Version 02.5, Feb MachXO

2 ( ) SRAM SRAM MPU JTAG TransFR TM (TFR) LUT4 FF I/O /RoHS MachXO EBR 27.6Kbits sysmem TM RAM(EBR) 7.5Kbit FIFO sysio LVCMOS 3.3/2.5/1.8/1.5/1.2 LVTTL PCI LVDS, Bus-LVDS, LVPECL, RSDS sysclock TM PLL 1 2 PLL IEEE V 3.3V/2.5V/1.8V IEEE MachXO LCMXO256 LCMXO640 LCMXO1200 LCMXO2280 LUT RAM (Kbits) EBR SRAM (Kbits) EBR SRAM (9k bit) V CC (V) 1.2/1.8/2.5/ /1.8/2.5/ /1.8/2.5/ /1.8/2.5/3.3 PLL I/O pin TQFP (14 x 14 mm) pin TQFP (20 x 20 mm) ball csbga (8 x 8 mm) ball csbga (8 x 8 mm) ball ftbga (17 x 17 mm) ball ftbga (19 x 19 mm) 271 MachXO 1-

3 MachXO CPLD FPGA CPLD FPGA FPGA (LUT) (EBR) CPLD CPLD isplever MachXO MachXO isplever isplever MachXO 1-2

4 MachXO I/O (PIO) sysclock PLL sysmem TM RAM(EBR) EBR PIO PIO sysio 2 (PFU) RAM/ROM PFU (PFF) PFU RAM ROM PFF ROM PFU PFF 2 1 MachXO I/O sysmem EBR RAM ROM FIFO FIFO LUT FIFO 2-1 MachXO1200 ( ) MachXO 2-1

5 2-2 MachXO640 ( ) 2-3 MachXO256 ( ) MachXO 2 (PLL) sysclock PLL JTAG MachXO 3.3V 2.5V 1.8V 1.2V MachXO 2-2

6 PFU MachXO PFU PFF PFU RAM ROM PFF ROM PFU PFF PFU PFU PFU PFU 2 (FF Latch ) 2 LUT4 LUT LUT5 LUT6 LUT7 LUT8 / ( ) RAM/ROM 2-5 / / ( PFU ) 7 6 ( PFU ) MachXO 2-3

7 A0, B0, C0, D0 LUT4 A1, B1, C1, D1 LUT4 M0, M1 CE LSR / CLK PFU FCIN 1 F0, F1 LUT4 Q0, Q1 OFX0 LUT5 MUX OFX1 LUT6, LUT7, LUT8 2 MUX PFU FCO PFU. MachXO 2-4

8 4 RAM ROM PFF RAM RAM ROM PFU LUT 4x2 or LUT 5x1 2-bit SP 16x2 ROM16x1 x 2 PFF LUT 4x2 or LUT 5x1 2-bit N/A ROM16x1 x 2 : LUT 4 (LUT4) LUT LUT4 1 LUT5 LUT6 LUT7 LUT A B - A B - A B - A B 2 Carry Generate Carry Propagate RAM 16 2 LUT, (RAM) LUT isplever PFU 2-3 (RAM) MachXO RAM TN1092 ROM : ROM RAM MachXO 2-5

9 2-3 RAM SPR16x2 DPR16x : SPR = Single Port RAM, DPR = Dual Port RAM PFU PFU 2-4 PFU 2-4 PFU RAM ROM LUT 4x8 or MUX 2x1 x 8 LUT 5x4 or MUX 4x1 x 4 LUT 6x 2 or MUX 8x1 x 2 LUT 7x1 or MUX 16x1 x 1 2-bit Add x 4 2-bit Sub x 4 SPR16x2 x 4 DPR16x2 x 2 SPR16x4 x 2 DPR16x4 x 1 ROM16x1 x 8 ROM16x2 x 4 2-bit Counter x 4 SPR16x8 x 1 ROM16x4 x 2 2-bit Comp x 4 ROM16x8 x 1 MachXO ( ) MachXO 2-6

10 PFU (2PFU )x1 (3PFU )x2 (7PFU )x6 isplever 2-7 MachXO256 MachXO MachXO1200 MachXO2280 / MachXO PFU MachXO 2-7

11 16:1 MachXO256 MachXO MachXO1200 MachXO PLL : MachXO sysclock (PLL) MachXO1200 MachXO2280 PLL PLL 4 CLKINTFB( ) PLL PLL_LOCK 2-10 sysclock PLL PLL PLL t LOCK CLKOS sysclock PLL PLL 4 VCO 2-11 PLL 2-5 PLL MachXO 2-8

12 2-10 PLL 2-11 PLL 2-5 PLL I/O CLKI I CLKFB I PLL PLL / CLKINTFB RST I 1 CLKOS O PLL CLKOP O PLL CLKOK O PLL LOCK O 1 PLL CLKI CLKINTFB O CLKTREE CLKOP DDAMODE I 1 ( ), 0 : ( ) DDAIZR I 1 : = 0, 0 : = on DDAILAG I 1 : Lag 0 : Lead DDAIDEL[2:0] I PLL TN1089 MachXO 2-9

13 sysmem MachXO MachXO1200 MachXO2280 sysmem RAM(EBR) EBR 9k RAM sysmem sysmem FIFO sysmem FIFO 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x x x 36 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x x 18 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x x x 36 8,192 x 1 4,096 x 2 2,048 x 4 1,024 x x x 36 RAM Word0 LSB MSB Word1 LSB MSB RAM ROM RAM RAM sysmem ROM EBR sysmem RAM / / /FIFO / sysmem RAM MachXO 2-10

14 2-12 sysmem EBR EBR /18/36 FIFO FIFO Data-in CEW WE CLKW Data RCE RE CLKR FIFO Almost Full Full Almost Empty Empty Flag Full Almost Full CLKW Empty Almost Empty CLKR 2-7 MachXO 2-11

15 2-7 FIFO Full (FF) 1 2 N -1 Almost Full (AF) 1 Full-1 Almost Empty (AE) 1 Full-1 Empty (EF) 0 FIFO RSTA RSTB 2 RSTA / FIFO FIFO RSTB FIFO FIFO EBR A B RSTA RSTB Port A Port B Global Reset(GSRN) EBR 2-21 Low EBR GSR 1 MachXO 2-12

16 2-14 EBR GSR GSR / 1/f MAX (EBR ) EBR GSR I/O GSR EBR RAM ROM FIFO EBR FIFO GSR WE RE 2-14 RPReset RE RE RST WE RE RST RPReset EBR EBR EBR GSR MachXO 2-13

17 PIO MachXO PIO 2 PIO 6PIO 4PIO PIO sysio PIO 2 T C 2 PIO MachXO1200 MachXO2280 IO PIO PIO LVDS PIO PCI I/O I/O MachXO 2-14

18 PIO PIO sysio PFU PFU PFU sysio I/O 2-16 MachXO PIO (TSALL) sysio PIO sysio 2-16 MachXO PIO sysio I/O sysio 8 sysio LVCMOS LVTTL BLVDS LVDS LVPECL sysio MachXO (LVTTL LVCMOS PCI) V CCIO V CCIO MachXO V CC V CCAUX MachXO256 MachXO640 MachXO1200 MachXO sysio 1. sysio MachXO 2-15

19 sysio 2 2 ( ) I/O PCI PCI V CC V CCAUX V CCIO 2 True" Comp" True Comp( ) 2. sysio sysio 2 2 ( ) 2 True" Comp" True I/O ( ) Comp( ) I/O ( ) I/O POR V CC V CCAUX POR FPGA I/O V CCIO V CC V CCAUX FPGA V CCIO I/O I/O FPGA I/O V CCIO V CC V CCAUX MachXO sysio LVCMOS LVTTL LVTTL LVCMOS1.2/1.5/1.8/2.5/3.3V LVCMOS LVTTL ( ) BLVDS LVPECL MachXO1200 MachXO2280 I/O 50% LVDS MachXO1200 MachXO2280 LVDS BLVDS LVPECL MachXO1200 MachXO2280 PCI 2-8 MachXO I/O MachXO I/O sysio TN1091 MachXO 2-16

20 2-8 I/O MachXO256 MachXO640 MachXO1200 MachXO2280 I/O ( I/O ) ( I/O ) ( I/O ) ( I/O ) ( I/O ) ( I/O ) ( I/O ) LVDS ( 50%) ( I/O ) ( I/O ) ( I/O ) LVDS ( 50%) I/O I/O I/O I/O PCI 2-9 V CCIO (Typ.) 3.3V 2.5V 1.8V 1.5V 1.2V LVTTL LVCMOS33 LVCMOS25 LVCMOS18 LVCMOS15 LVCMOS12 PCI 1 BLVDS 2, LVDS 2, LVPECL 2, RSDS 2 1. MachXO1200 MachXO MachXO1200 MachXO V CCIO ( ) LVTTL 4mA, 8mA, 12mA, 16mA 3.3 LVCMOS33 4mA, 8mA, 12mA, 14mA 3.3 LVCMOS25 4mA, 8mA, 12mA, 14mA 2.5 LVCMOS18 4mA, 8mA, 12mA, 14mA 1.8 LVCMOS15 4mA, 8mA 1.5 LVCMOS12 2mA, 6mA 1.2 LVCMOS33, 4mA, 8mA, 12mA 14mA LVCMOS25, 4mA, 8mA, 12mA 14mA MachXO 2-17

21 LVCMOS18, 4mA, 8mA, 12mA 14mA LVCMOS15, 4mA, 8mA LVCMOS12, 2mA, 6mA PCI33 3 N/A 3.3 LVDS 1, 2 N/A 2.5 BLVDS, RSDS 2 N/A 2.5 LVPECL 2 N/A 3.3 1, MachXO1200 MachXO2280 LVDS 2, 3, MachXO1200 MachXO2280 sysio 2 MachXO1200 MachXO (1 2 ) MachXO640 4 (1 1 ) (MachXO256) 2 sysio I/O I/O (V CCIO ) sysio 2-17 MachXO2280 MachXO 2-18

22 2-18 MachXO MachXO640 MachXO 2-19

23 2-20 MachXO256 ( ) MachXO I/O MachXO MachXO C (Vcc=1.8/2.5/3.3V) SLEEPN FPGA EBR I/O SLEEPN High - Low Icc Typical <10mA 0 Typical <100uA I/O < 10uA < 1mA < 10uA Vcc/Vccio/Vccaux 0 I/O JTAG EBR MachXO 2-20

24 SLEEPN SLEEPN V CC LVCMOS 10uA V CC SLEEPN Low ns AC DC MachXO CMOS 18MHz 26MHz MachXO IEEE MachXO IEEE (TAP) TDI TDO TCK TMS I/O V CCIO MachXO256: V CCIO1 ; MachXO640: V CCIO2 ; MachXO1200 MachXO2280: V CCIO5 LVCMOS3.3/2.5/1.8/1.5/1.2 MachXO MachXO 2 IEEE IEEE1532 I/O BSCAN IEEE SRAM 3 IEEE IEEE IEEE MachXO SRAM IEEE1532 IEEE TAP MachXO 2-21

25 (Leave Alone) I/O IEEE1532 SRAM I/O Low High TransFR TM (Transparent Field Reconfiguration) TransFR(TFR) ispvm TN1087 (Minimizing System Interruption During Configuration Using TransFR) MachXO SRAM (TN1086) 2-21 MachXO MachXO MachXO 2-22

26 1 2 3 DC V CC V V V CCAUX V V V CCIO V V I/O V V V V ( ) (Tj) Min. Max. 1.2V V V CC 1.8V/2.5V/3/3V V V CCAUX 3 V CCIO 2 (Auxiliary) V I/O V t JCOM 0 85 t JIND t JFLASHCOM 0 85 t JFLASHIND V CCIO V CC 2.5V 3.3V V CCIO V CCAUX LCMXO E 1.2V V CCIO V CC 2 I/O 3 V CCAUX 2.5V V CC MachXO256, MachXO640 ( ) Min. Typ. Max I DK I/O 0 V IN V IH (MAX) +/-1000 ua 1 V CC V CCAUX V CCIO 2 0 V CC V CC (MAX) 0 V CCIO V CCIO (MAX) 0 V CCAUX V CCAUX (MAX) 3 I DK I PU I PW I BH MachXO 3-1

27 MachXO1200, MachXO2280 ( ) Min. Typ. Max LVDS sysio I DK I/O 0 V IN V IH (MAX) +/-1000 ua LVDS sysio V IN V CCIO +/-1000 ua I DK I/O V IN V CCIO 35 ma 1 V CC V CCAUX V CCIO 2 0 V CC V CC (MAX) 0 V CCIO V CCIO (MAX) 0 V CCAUX V CCAUX (MAX) 3 I DK I PU I PW I BH 4 LVCMOS LVTTL DC Min. Typ. Max. I IL, I IH I/O 0 V IN (V CCIO 0.2V) 10 ua (V CCIO - 0.2V) V IN 3.6V 40 ua I PU I/O 0 V IN 0.7 V CCIO ua I PD I/O V IL (MAX) V IN V IH (MAX) ua I BHLS Low V IN = V IL (MAX) 30 ua I BHHS High V IN = 0.7V CCIO -30 ua I BHLO I BHLH V BHT 3 Low High 0 V IN V IH (MAX) 150 ua 0 V IN V IH (MAX) -150 ua 0 V IN V IH (MAX) V IL (MAX) V IH (MIN) V C1 I/O 2 V CCIO = 3.3V, 2.5V, 1.8V, 1.5V, 1.2V, V CC = TYP., V IO = 0 to V IH (MAX) C2 2 V CCIO = 3.3V, 2.5V, 1.8V, 1.5V, 1.2V, V CC = TYP., V IO = 0 to V IH (MAX) 8 pf 8 pf 1. I/O I/O 2. TA 25 f = 1.0MHz 3. sysio DC VIL VIH 4. SLEEPN 5. VIH VCCIO Hi Lo 30ns 6mA MachXO1200 MachXO2280 LVDS VIH VCCIO MachXO 3-2

28 ( ) 1 2 Typ. 3 MAX I CC I CCAUXyy (Auxiliary) LCMXO256C ua LCMXO640C ua LCMXO1200C ua LCMXO2280C ua LCMXO256C 1 15 ua LCMXO640C 1 25 ua LCMXO1200C 1 45 ua LCMXO2280C 1 85 ua I CCIO 4 LCMXO C 2 30 ua 1 LVCMOS V CCIO GND 2 0MHz. 3 T A =25 4 ( ) Typ. 5 I CC I CCAUX (Auxiliary) V CCAUX = 3.3V LCMXO256C 7 ma LCMXO640C 9 ma LCMXO1200C 14 ma LCMXO2280C 20 ma LCMXO256E 4 ma LCMXO640E 6 ma LCMXO1200E 10 ma LCMXO2280E 12 ma LCMXO256E/C 5 ma LCMXO640E/C 7 ma LCMXO1200E/C 12 ma LCMXO2280E/C 13 ma I CCIO 6 2 ma 1 2 LVCMOS V CCIO GND 3 0MHz. 4 5 T A =25 6 V CCIO =2.5V, MachXO 3-3

29 Typ. 5 I CC I CCAUX (Auxiliary) V CCAUX = 3.3V LCMXO256C 13 ma LCMXO640C 17 ma LCMXO1200C 21 ma LCMXO2280C 23 ma LCMXO256E 10 ma LCMXO640E 14 ma LCMXO1200E 18 ma LCMXO2280E 20 ma LCMXO256E/C 10 ma LCMXO640E/C 13 ma LCMXO1200E/C 24 ma LCMXO2280E/C 25 ma I CCio 6 2 ma 1 2 I/O V CCIO GND 3 0MHz. 4 5 T A =25 6 V CCIO =2.5V, MachXO 3-4

30 Typ. 5 I CC I CCAUX (Auxiliary) V CCAUX = 3.3V LCMXO256C 9 ma LCMXO640C 11 ma LCMXO1200C 16 ma LCMXO2280C 22 ma LCMXO256E 6 ma LCMXO640E 8 ma LCMXO1200E 12 ma LCMXO2280E 14 ma LCMXO256E/C 8 ma LCMXO640E/C 10 ma LCMXO1200E/C 15 ma LCMXO2280E/C 16 ma I CCJ V CCJ 6 2 ma 1 2 V CCIO GND 3 4 JTAG 25MHz 5 T A =25 6 V CCIO =2.5V, MachXO 3-5

31 sysio V CCIO Min. Typ. Max. LVCMOS LVCMOS LVCMOS LVCMOS LVCMOS LVTTL PCI LVDS LVPECL RSDS 1, BLVDS MachXO 1200 MachXO 2280 LVDS 3. MachXO 1200 MachXO 2280 MachXO 3-6

32 sysio DC V IL V IH Min. (V) Max. (V) Min. (V) Max. (V) LVCMOS LVTTL LVCMOS LVCMOS V CCIO 0.65V CCIO 3.6 LVCMOS V CCIO 0.65V CCIO 3.6 LVCMOS V CCIO 0.65V CCIO 3.6 V OL Max. (V) V OH Min. (V) I OL 1 (ma) I OH 1 (ma) 0.4 V CCIO , 12, 8, 4-14, -12, -8, V CCIO V CCIO , 8, 4-12, -8, V CCIO V CCIO , 12, 8, 4-14, -12, -8, V CCIO V CCIO , 12, 8, 4-14, -12, -8, V CCIO V CCIO , 4-8, V CCIO V CCIO , 2-6, V CCIO PCI V CCIO 0.5V CCIO V CCIO 0.9V CCIO I/O GND I/O GND I/O DC n*8ma n GND GND I/O The average DC current drawn by I/Os between GND connections, or between the last GND in an I/O bank and the end of an I/O bank, as shown in the logic signal connections table shall not exceed n * 8mA. Where n is the number of I/Os between bank GND connections or between the last GND in a bank and the end of a bank. MachXO 3-7

33 sysio LVDS Min. Typ. Max. V INP, V INM V V THD +/-100 mv V CM 100mV V THD V THD / V 200mV V THD V THD / V 350mV V THD V THD / V I IN +/-10 ua V OH V OP V OM High R T = V V OL V OP V OM Low R T = V 1.03 V V OD (V OP - V OM ), R T = mv V OD High Low V OD 50 mv V OS (V OP - V OM )/2, R T = V V OS H L V OS 50 mv I OSD V OD = 0V 6 ma MachXO 3-8

34 LVDS MachXO LVDS LVDS LVDS25E LVCMOS 3-1 1% LVDS 3-1 LVDS LVDS25E 3-1 LVDS25E Z OUT 20 Rs 294 Rp 121 R T 100 V OH High 1.43 V V OL Low 1.07 V V OD 0.35 V V CM 1.25 V Z BACK 100 I DC 3.66 ma MachXO 3-9

35 BLVDS MachXO BLVDS LVCMOS LVDS BLVDS BLVDS 3-2 BLVDS 1 Typical Zo = 45 Zo = 90 Z OUT R TLEFT R TRIGHT V OH High V V OL Low V V OD V V CM V I DC DC ma 1. LVDS MachXO 3-10

36 LVPECL MachXO LVPECL LVCMOS LVDS LVPECL 3-3 LVPECL 1 Typical Z OUT 100 R P 150 R T 100 V OH High 2.03 V V OL Low 1.27 V V OD 0.76 V V CM 1.65 V Z BACK 85.7 I DC DC 12.7 ma 1. LVDS MachXO 3-11

37 RSDS LatticeECP/EC RSDS LVCMOS LVDS 3-4 RSDS 1 RSDS LVDS25E 3-4 1% 3-4 RSDS (Reduced Swing Differential Standard) 3-4 RSDS DC Typical Z OUT 20 R S 294 R P 121 R T 100 V OH High 1.35 V OL Low 1.15 V OD 0.20 V CM 1.25 Z BACK I DC DC 3.66 MachXO 3-12

38 1 (LVCMOS25 12mA ) ns 4:1 MUX 4.5 ns 16:1 MUX 5.1 ns -5 16:1 MUX 487 MHz MHz MHz MHz RAM 284 MHz RAM 284 MHz 16 2 RAM 434 MHz 64 2 RAM 320 MHz RAM 261 MHz 32 2 RAM 314 MHz 64 4 RAM 271 MHz isplever Rev.A 0.19 isplever isplever MachXO 3-13

39 MachXO 1 I/O (PLL ) 1 t PD t CO t SU t H f MAX_IO t SKEW_PRI PFU I/O PFU Min. Max. Min. Max. Min. Max. LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO ns LCMXO MHz LCMXO MHz LCMXO MHz LCMXO MHz LCMXO ps LCMXO ps I/O LCMXO ps 1. LVCMOS2.5V 12mA Rev.A 0.19 LCMXO ps MachXO 3-14

40 MachXO 1 PFU/PFF Min. Max. Min. Max. Min. Max. t LUT4_PFU LUT4 (A D F ) ns t LUT6_PFU LUT6 (A D OFX ) ns t LSR_PFU / PFU ns t SUM_PFU Mux(M0 M1) ns t HM_PFU Mux(M0 M1) ns t SUD_PFU D ns t HD_PFU D ns t CK2Q_PFU Q D ns t LE2Q_PFU Q ns t LD2Q_PFU D Q ns PFU t CORAM_PFU ns t SUDATA_PFU ns t HDATA_PFU ns t SUADDR_PFU ns t HADDR_PFU ns t SUWREN_PFU / ns t HWREN_PFU / ns PIO / t IN_PIO ns t OUT_PIO ns EBR t CO_EBR ns t COO_EBR EBR ns t SUDATA_EBR EBR ns t HDATA_EBR EBR ns t SUADDR_EBR EBR ns t HADDR_EBR EBR ns t SUWREN_EBR / EBR ns t HWREN_EBR / EBR ns t SUCE_EBR EBR ns t HCE_EBR EBR ns t RSTO_EBR EBR ns PLL t RSTREC ns t RSTSU ns 1 Rev.A 0.19 MachXO 3-15

41 MachXO LVDS25 4 LVDS ns BLVDS25 4 BLVDS ns LVPECL33 4 LVPECL ns LVTTL33 LVTTL ns LVCMOS33 LVCMOS ns LVCMOS25 LVCMOS ns LVCMOS18 LVCMOS ns LVCMOS15 LVCMOS ns LVCMOS12 LVCMOS ns PCI33 4 PCI ns LVDS25E LVDS 2.5 E ns LVDS25 4 LVDS ns BLVDS25 BLVDS ns LVPECL33 LVPECL ns LVTTL33_4mA LVTTL 4mA ns LVTTL33_8mA LVTTL 8mA ns LVTTL33_12mA LVTTL 12mA ns LVTTL33_16mA LVTTL 16mA ns LVCMOS33_4mA LVCMOS 3.3 4mA ns LVCMOS33_8mA LVCMOS 3.3 8mA ns LVCMOS33_12mA LVCMOS mA ns LVCMOS33_16mA LVCMOS mA ns LVCMOS25_4mA LVCMOS 2.5 4mA ns LVCMOS25_8mA LVCMOS 2.5 8mA ns LVCMOS25_12mA LVCMOS mA ns LVCMOS25_16mA LVCMOS mA ns LVCMOS18_4mA LVCMOS 1.8 4mA ns LVCMOS18_8mA LVCMOS 1.8 8mA ns LVCMOS18_12mA LVCMOS mA ns LVCMOS18_16mA LVCMOS mA ns LVCMOS15_4mA LVCMOS 1.5 4mA ns LVCMOS15_8mA LVCMOS 1.5 8mA ns LVCMOS12_2mA LVCMOS 1.2 2mA ns LVCMOS12_6mA LVCMOS 1.2 6mA ns PCI33 4 PCI ns 1 2 LVCMOS 3 4 I/O LCMXO1200 LCMXO2280 Rev.A 0.19 MachXO 3-16

42 sysclock PLL Min. Max. f IN (CLKI CLKFB) MHz f OUT (CLKOP CLKOS) MHz f OUT2 K (CLKOK) MHz f VCO PLL VCO MHz f PFD 25 MHz AC t DT % 4 t PH 0.05 UI t OPJIT 1 f OUT 100MHz +/- 120 ps f OUT < 100MHz 0.02 UIPP t SK = +/- 200 ps t W 90% 10% 3 1 ns 2 t LOCK PLL 150 us t PA ps t IPJIT +/- 200 ps t FBKDLY 10 ns t HI High 90% 90% 0.5 ns t LO Low 10% 10% 0.5 ns t RST RST 10 ns 1. 10,000, 2. PLL t LOCK 3. LVDS 4. CLKOS CLKOP (Rev.A 0.19) MachXO 3-17

43 MachXO C Min Typ. Max t PWRDN SLEEPN Low 400 ns t PWRUP SLEEPN High LCMXO us LCMXO us LCMXO us LCMXO us t WSLEEPN SLEEPN 400 ns t WAWAKE Rev.A 0.19 SLEEPN 100 ns MachXO 3-18

44 Min. Typ. Max. LCMXO ms t FEFRESH V CC V CCAUX LCMXO ms LCMXO ms LCMXO ms JTAG Min. Max. f MAX TCK 25 MHz t BTCP TCK [BSCAN] 40 ns t BTCPH TCK [BSCAN] High 20 ns t BTCPL TCK [BSCAN] Low 20 ns t BTS TCK [BSCAN] 8 ns t BTH TCK [BSCAN] 10 ns t BTRF TCK [BSCAN] / 50 mv/ns t BTCO TAP 10 ns t BTCODIS TAP 10 ns t BTCOEN TAP 10 ns t BTCRS BSCAN 8 ns t BTCRH BSCAN 25 ns t BUTCO BSCAN 25 ns t BTUODIS BSCAN 25 ns t BTUPOEN BSCAN 25 ns Rev.A 0.19 MachXO 3-19

45 3-14 AC LVTTL LVCMOS 3-5 R 1 C L Ref. V T LVTTL, LVCMOS 3.3 = 1.5V LVCMOS 2.5 = V CCIO /2 LVTTL LVCMOS (L -> H, H -> L) 0pF LVCMOS 1.8 = V CCIO /2 LVCMOS 1.5 = V CCIO /2 LVCMOS 1.2 = V CCIO /2 LVTTL LVCMOS 3.3 Z -> H 1.5 LVTTL LVCMOS 3.3 Z -> L LVCMOS (Z -> H) V CCIO /2 V OL 188 0pF LVCMOS (Z -> L) V CCIO /2 V OH LVTTL + LVCMOS (H -> Z) V OH V OL LVTTL + LVCMOS (L -> Z) V OL : V OL V OH V OH MachXO 3-20

46 I/O [Edge] ( ) L( ) B( ) R( ) T( ) P[Edge] [Row/Column Number*]_[A/B] I/O [Row/Column Number] PIC PFU Row( ) Column( ) Edge T B Row Edge L R Column [A/B] PIC PIO I/O GSRN I I/O ( ) (Low ) I/O TSALL I Hi I/O NC (NC) GND V CC V CCAUX V CCIOx I/O x SLEEPN 1 I (Auxiliary) High Low Vcc PLL (PLL I/O ) [LOC][0]_PLL[T, C]_IN [LOC][0]_PLL[T, C]_FB PCLK[n]_[1:0] ) (PLL) : [LOC] ULM(Upper PLL) LLM)Lower PLL) T = true and C = complement (PLL) : [LOC] ULM(Upper PLL) LLM)Lower PLL) T = true and C = complement n TMS I TCK I TDI TDO *1 MachXO C E NC I O MachXO 4-1

47 MachXO 4-2

LatticeXP ファミリ・データシート

LatticeXP ファミリ・データシート MachXO DS1002 Version 02.8, June 2009 DISCLAIMER Translation of Lattice materials into languages other than English is intended as a convenience for our non-english reading customers. Although we attempt

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD 0 XA2C256 Coolunner-II CPLD DS555 (v1.1) 2007 5 5 0 0 AEC-Q100 PPAP I Q ( ) T A = -40 C +105 C T J = +125 C (Q ) 1.8V 0.18 CMOS CPLD - ( Coolunner -II ) - 1.5V 3.3V - 100 VQFP (80 ) - 144 TQFP (118 ) -

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

untitled

untitled LatticeECP/EC LatticeXP LatticeEC TM LatticeECP TM LatticeXP TM isplever EBR PFU LatticeECP/EC LatticeXP sysmem RAM(EBR) PFU RAM RAM RAM ROM EBR LUT PFU RAM RAM ROM FIFO EBR RAM PFU RAM 2 isplever IPexpress

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

MSM51V18165F

MSM51V18165F OKI 2008 10 1 OKI OKI OKI 2008 10 1 OKI 193-8550 550-1 http://www.okisemi.com/jp/ OKI MSM51V18165F FJDD51V18165F-03 2005 6 3 1,048,576-Word 16-Bit DYNAMIC RAM : EDO MSM51V18165F CMOS 1,048,576 16 4 2 CMOS

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

untitled

untitled COPAL ELECTRONICS 32 (DP) DP INC 2 3 3 RH RL RWB 32 C S U/D INC U/D CS 2 2 DP7114 32 SOIC CMOS 2.5 V - 6.0 V / 10 kω 50 kω 100 kω TSSOP MSOP /BFR INC / U/D RH RoHS GND RWB RL CS VCC 2017 6 15 1 : R = 2

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp)

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp) LM9822 LM9822 3 Channel 42-Bit Color Scanner Analog Front End Literature Number: JAJS680 LM9822 3 42 LM9822 AFE CIS CCD CDS / LM9822 14 6MHz ADC 600 / CCD CDS CCD CIS TTL/CMOS 14 6MHz 5V 5% I/O 3.3V 10%

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

    

     The Intelligent Technology Company ALTERA CPLD/FPGA ELS5004_S000_10 2006 4 ALTERA CPLD/FPGA...3...3 - Absolute Maximum Ratings...3 - Recommended Operating Conditions...4 - DCDC Operating Conditions...4

More information

LM837 Low Noise Quad Operational Amplifier (jp)

LM837 Low Noise Quad Operational Amplifier (jp) Low Noise Quad Operational Amplifier Literature Number: JAJSBB7 600 Low Noise Quad Operational Amplifier 2000 8 Converted to nat2000 DTD ds009047tl/h/9047 33020 19860602 10 V/ s ( ); 8 V/ s ( ) 25 MHz

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

untitled

untitled : SOU1AP2011003 2011/12/25 & Copyright 2010, Toshiba Corporation. : SOU1AP2011003 1. 2.CMOS 3.CMOS 4.CMOS 5.CMOS 6. 2 : SOU1AP2011003 3 : SOU1AP2011003 NAND,OR,, IC 1A 1 1B 2 14 13 V CC 4B 1Y 2A 2B 3 4

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

DS90LV047A

DS90LV047A 3V LVDS 4 CMOS 4 CMOS Low Voltage Differential Signaling (LVDS) 400Mbps (200MHz) TLL/CMOS 350mV TRI-STATE 13mW ( ) PCB ENABLE ENABLE* AND TRI- STATE 4 DS90LV04 A (DS90LV048A ) ECL 1 1 Dual-In-Line 3V LVDS

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

MO 2 E 2 POM -248/16 ev. 1.3_2 L D WP V GND 2* D IN LOD / W D OU OMP LOD 3 Min. yp. Max. V IN Y V IH V = V V = V V IL V = V 2 V =

MO 2 E 2 POM -248/16 ev. 1.3_2 L D WP V GND 2* D IN LOD / W D OU OMP LOD 3 Min. yp. Max. V IN Y V IH V = V V = V V IL V = V 2 V = ev. 1.3_2 MO 2 E 2 POM -248/16 8-Pin DIP ( DP8-DP8-E) 8-Pin OP ( FJ8-DFJ8-E) :µ Max. (V =5.5 V) :.8 m Max. (V =5.5 V, f=4khz).4 m Max. (V =4.5 V, f=1khz) :2.5 5.5 V :1.8 5.5 V 16 (-248, -2416) GN 1 2 8-Pin

More information

AD5302/AD5312/AD5322: 2.5 ~ 5.5 V 電源、230 μA 消費電流、デュアル、レール to レール電圧出力の 8 / 10 / 12 ビット D/A コンバータ

AD5302/AD5312/AD5322: 2.5 ~ 5.5 V 電源、230 μA 消費電流、デュアル、レール to レール電圧出力の 8 / 10 / 12 ビット D/A コンバータ 2.5 5.5V 23µA to8/1/12 D/A AD532 18DAC 2 A 1LSB INL B.5LSB INL AD5312 11DAC 2 A 4LSB INL B 2LSB INL AD5322 112DAC 2 A 16LSB INL B 8LSB INL 1MSOP 3µA@5V 2nA@5V 5nA@3V 2.5 5.5V V REF V LDAC DAC to 1MSOP

More information

MSM514400E/EL

MSM514400E/EL 1 1,08,576-Word x -BiYNAMIC RAM : 2001 1 CMOS 1,08,576 2 CMOS 26/20 SOJ 26/20 TSOP L!"1,08,576!"5V 10%!" : TTL!" : TTL!" : 1,02 16ms 1,02 128ms L-!"!"CAS RAS RAS!"!" : 26/20 300mil SOJ (SOJ26/20-P-300-1.27)

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

MSM51V18165F

MSM51V18165F 1 電子デバイス MSM51V1165F 1,04,576-Word 16-Bit DYNAMIC RAM : EDO 機能付き高速ページモード 2000 10 MSM51V1165F CMOS 1,04,576 16 4 2 CMOS 42 SOJ 50/44 TSOP 1,04,576 16 3.3V 0.3V LVTTL LVTTL 1024 /16ms EDO!"# $"# $"# 42 400mil

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

LP3470 Tiny Power On Reset Circuit (jp)

LP3470  Tiny Power On Reset Circuit (jp) Tiny Power On Reset Circuit Literature Number: JAJS547 IC ( C) CMOS IC 2.63V 2.93V 3.08V 3.65V 4.00V 4.38V 4.63V 6 (V RTH ) 2.4V 5.0V V CC (L ow ) ( ) V CC ( ) IC SOT23-5 1 : 2.63V 2.93V 3.08V 3.65V 4.00V

More information

sm1ck.eps

sm1ck.eps DATA SHEET DS0 0 ASSP, IC,,,,, (VS =. V.%) (VCC = 0. V ) (VR =. V.%) ( ) DIP, SIP, SOP, (DIP-P-M0) (SIP-P-M0) (FPT-P-M0) (FRONT VIEW) (TOP VIEW) C T C T V S V REF V CC V CC V REF V S (DIP-P-M0) (FPT-P-M0)

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

untitled

untitled LatticeXP2 LatticeXP2 TM isplever (Tag) RAM (EBR) PFU FPGA sysmem RAM (EBR) PFU RAM RAM RAM FIFO ROM EBR LUT PFU RAM RAM ROM EBR RAM PFU RAM isplever IPexpress TM 2 IPexpress IPexpress 1 IPexpress LatticeXP2

More information

LTC ホット・スワップ・コントローラ

LTC ホット・スワップ・コントローラ LTC / GND CNECTOR CNECTOR R Q 0.00Ω MTB0N0V SENSE LTC GND C 0.µF R 0Ω FB C 0.µF.k % R.k % µp C 00µF V BACKPLANE PLUG-IN CARD TA0 LTC GND N PACKAGE -LEAD PDIP TOP VIEW SENSE FB S PACKAGE -LEAD PLASTIC SO

More information

AN5637

AN5637 IC SECAM IC SECAM IC 1 SECAM Unit : mm 19.2±0.3 16 9 1 8 (0.71) 0.5±0.1 Seating plane 2.54 1.22±0.25 DIP016-P-0300D 6.2±0.3 5.20±0.25 1.10±0.25 3.05±0.25 7.62±0.25 3 to 15 0.30 +0.10 ) (DIP016- P-0300M)

More information

PowerPoint Presentation

PowerPoint Presentation Page: 1 Lattice-XO2 基板設計時資料 はじめに 本資料は Lattice 社 XO2 の基板設計時の注意事項 使用時の注意事項をまとめたものです 実際の動作等詳細 最終の確認は 別途データシート テクニカルノートを参照頂けるようお願い申し上げます Lattice 社データシートと本資料との間に差異があった場合には Lattice 社データシートを正としお取り扱い下さい Page:

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

LM5021 AC-DC Current Mode PWM Controller (jp)

LM5021 AC-DC Current Mode PWM Controller (jp) LM5021 LM5021 AC-DC Current Mode PWM Controller Literature Number: JAJSAC6 LM5021 AC-DC PWM LM5021 (PWM) LM5021 (25 A) 1 ( ENERGY STAR CECP ) Hiccup (Hiccup ) 8 LM5021 100ns 1MHz AC-DC PWM 5021 LM Steve

More information

untitled

untitled AWG-50 Rev 6.5 1 4 2 5 3 5 4 6 4.1 6 4.2 6 4.3 7 4.4 7 4.5 8 4.6 8 4.7 8 4.8 9 5 CD-ROM 10 6 11 6.1 11 6.1.1 Windows 10 11 6.1.2 Windows 8 8.1 12 6.1.3 Windows 7 13 6.1.4 Windows Vista 14 6.1.5 Windows

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

51505agj.PDF

51505agj.PDF Type No. 2002 7 3 ******** 1.... 2 2.... 3 3.... 7 4. I/O... 9 5.... 11 6.... 12 7.... 16 8.... 16 9.... 16 10.... 17 11.... 18 CORPORATION Page 1/18 1. min. -20max. 70 min. -20max. 70 20 2 5 8 1 83.0

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

LTC 自己給電絶縁型コンパレータ

LTC 自己給電絶縁型コンパレータ AC 120V TECCOR 4008L4 OR EUIVALENT NEUTRAL 2N2222 HEATER 25Ω 150Ω 1k 1N4004 2.5k 5W 5.6V R1 680k 390Ω 100µF LE 47k C1 0.01µF ZC ZC COMPARISON > R = R O e B (1/T 1/T O ) B = 3807 1µF THERM 30k YSI 44008

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

AN8934FA

AN8934FA BS +QPSK IC BS QPSK IC 4.5MHz L.P.F. 5.7MHz B.P.F. C/N 2 (75Ω ) 1 (75Ω ) PCM IC MN88831 1 (18.432MHz) BS 37 48 (14.25) 1 12.00±0.20 10.00±0.20 36 25 0.65 12 0.30 +0.10 0.05 Seating plane 24 13 (1.425)

More information

LM2831 高周波数動作 1.5A 負荷 降圧型DC/DCレギュレータ

LM2831 高周波数動作 1.5A 負荷 降圧型DC/DCレギュレータ High Frequency 1.5A Load - Step-Down DC-DC Regulator Literature Number: JAJSAH7 1.5A DC/DC 5 SOT23 6 LLP PWM DC/DC DC/DC PCB 0.5 m BiCMOS 1.5A 130m PMOS 30ns 3V 5.5V 0.6V 550 khz 1.6MHz 3.0MHz 93% 30nA

More information

30-80 MHz 10Bit Bus LVDS Serial/Deserial w/ IEEE (JTAG) & at-speed B(jp)

30-80 MHz 10Bit Bus LVDS Serial/Deserial w/ IEEE (JTAG) & at-speed B(jp) SCAN921025,SCAN921226 SCAN921025 and SCAN921226 30-80 MHz 10 Bit Bus LVDS Serializer and Deserializer with IEEE 1149.1 (JTAG) and at-speed BIST Literature Number: JAJS977 SCAN921025/SCAN921226 30-80MHz

More information

DS04-21361-4

DS04-21361-4 Cypress () FUJITSU SEMICONDUCTOR DATA SHEET DS4 236 4 ASSPDTS Bi-CMOS PLL (. GHz PLL) MB5F7SL MB5F7SL,, MHz 2 PLL (Phase Locked Loop) LSI Bi CMOS, 5 ma (VCC 2.7 V), VCC 2.4 V,.5 ma, 6 ma 2, MB5F7SL,, MHz

More information

R1LV0816ASB データシート

R1LV0816ASB データシート R1LV0816ASB 5SI, 7SI 8Mb Advanced LPSRAM (512k word x 16bit) RJJ03C0292-0100 Rev.1.00 2009.11.30 概 要 R1LV0816ASB は シリコンゲート 0.15µm CMOS プロセス 技 術 を 用 いた 524,288 語 16 ビット 構 成 を 持 ち 単 一 電 源 で 動 作 する 非 同 期

More information

RNA52A10MM データシート

RNA52A10MM データシート RNAA0MM Dual CMOS system RESET IC R0DS009JJ000 (Previous code: RJJ0D0-000) Rev..00 0..9 RNAA0MM. CMOS. μa (typ) H MΩ o CMOS. ± 0 m % typ.. μa typ. CR MMPAK- 0 C ( ) RNAA0MMEL MMPAK- PLSP000JC-A MM EL (,000

More information

ma_sk_3302_jp

ma_sk_3302_jp 1 4 1.1............... 4 1. CE.............................. 4 1.3................. 4 1.4........................ 4 4 3 5 3.1........................... 5 3.1.1............................. 5 3.1...........................

More information

HD74HCT564, HD74HCT574

HD74HCT564, HD74HCT574 ご注意 安全設計に関するお願い 1. 弊社は品質 信頼性の向上に努めておりますが 半導体製品は故障が発生したり 誤動作する場合があります 弊社の半導体製品の故障又は誤動作によって結果として 人身事故 火災事故 社会的損害などを生じさせないような安全性を考慮した冗長設計 延焼対策設計 誤動作防止設計などの安全設計に十分ご留意ください 本資料ご利用に際しての留意事項 1. 本資料は お客様が用途に応じた適切なルネサステクノロジ製品をご購入いただくための参考資料であり

More information

AN8032

AN8032 IC,,,, 1 IC,,,,, MOSFET, MOSFET,,, TH 2.5 V V REF 23.3±0.3 U.V.L.O. comp. 6.0±0.3 1/8 V 9 6 2.4±0.25 9 8 7 6 5 4 3 2 1 0.3 +0.1 0.05 3.3±0.25 0.5±0.1 2.54 1.5±0.25 1.5±0.25 SIP009-P-0000C V CC Unit : mm

More information

AD5933: 1 MSPS、12 ビット・インピーダンス・コンバータネットワーク・アナライザ

AD5933: 1 MSPS、12 ビット・インピーダンス・コンバータネットワーク・アナライザ 1MSPS 12 AD5933 1kHzto I 2 C 27.1Hz 1Ω 1MΩ 2.5 2.7 5.5V 4125 16SSOP AD5933 1MSPS 12A/D ADC ADC DSPDFT DFTR I 2 1. Tan 1 (I/R) AD5934 2 2 R + I 2.7 5.5V 25kSPS 12 16SSOP MCLK AVDD DVDD DAC R OUT VOUT SCL

More information

RNA51xxシリーズ データシート

RNA51xxシリーズ データシート RNxx CMOS system RESET IC R0DS0090JJ000 Rev..00 0.0.0 RNxx. V,.6 V,.7 V,.8 V,.9 V,.0 V,. V,. V,. V,.6 V,.0 V, ±% CMOS, (0.7 μ),, ( MΩ) (RNxx) CMOS (RNBxx). V,.6 V,.7 V,.8 V,.9 V,.0 V,. V,. V,. V,.6 V,.0

More information

NJU3555 NJU

NJU3555 NJU 1/16 LCD NJU6573 NJU6573 16 100 LCD 16 100 1/16 1600 LCD NJU6573 LCD CMOS 16 100 1/5 2MHz Max. CR, 16 V DD = 2.4V3.6V P-Sub LQFP144 20.0mm x 20.0mm t=1.7mm(max) 0.50mm pitch - 1 - NJU3555 NJU6573-2 - NJU3555

More information

R1RP0416DIシリーズデータシート

R1RP0416DIシリーズデータシート Wide Temperature Version 4M High Speed SRAM (256-kword 16-bit) データシート RJJ03C0097-0201 Rev.2.01 概要 R1RP0416DI シリーズは 256k ワード 16 ビット構成の 4M ビット高速スタティック RAM です CMOS(6 トランジスタメモリセル ) プロセス技術を採用し, 高密度, 高性能, 低消費電力を実現しました

More information

ABSOLUTE MAXIMUM RATINGS Supply Voltage,...-.5V to 5.V Input Voltage (LVDS, TTL)...-.5V to ( +.5V) Output Voltage (LVDS)...-.5V to ( +.5V) Continuous

ABSOLUTE MAXIMUM RATINGS Supply Voltage,...-.5V to 5.V Input Voltage (LVDS, TTL)...-.5V to ( +.5V) Output Voltage (LVDS)...-.5V to ( +.5V) Continuous 9-48; Rev ; 3/ PART TEMP. RANGE PIN-PACKAGE UCM C to +85 C 48 TQFP MAX3869 LASER DRIVER OPTICAL TRANSCEIVER 2.5Gbps MAX383 4-CHANNEL INTERCONNECT MUX/DEMUX 622Mbps CROSSPOINT SWITCH SONET SOURCE A SONET

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

NJW4124 IC ( ) NJW4124 AC-DC 1cell/2cell IC / 1 NJW4124M / Bi-CMOS NJW4124M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 V

NJW4124 IC ( ) NJW4124 AC-DC 1cell/2cell IC / 1 NJW4124M / Bi-CMOS NJW4124M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 V IC ( ) AC-DC 1cell/2cell IC / 1 M / Bi-CMOS M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 VREF ADP 6 15 V + 7 14 TDET 8 13 TH C1 9 12 TL C2 10 11 CHG-SW M - 1 - (Ta=25 C) V

More information

untitled

untitled H Phase & Enable (UVLO) V DD =2.55.5V =3.08.0V Io=400mA I DD =200uA typ. (Mode Select) 2 Phase & Enable (ALL L ) STB L (UVLO) Alarm CMOS SSOP20-C3 - - (Ta=25 C) (Ta=25) - 2 - - 3 - - 4 - - 5 - OUTA IN2B

More information

16-Bit, Serial Input Multiplying Digital-to-Analog Converter (Rev. B

16-Bit, Serial Input Multiplying Digital-to-Analog Converter (Rev. B DAC8811 www.tij.co.jp ± ± µ ± µ ± V REF CS Power-On Reset DAC8811 D/A Converter 16 DAC Register 16 R FB I OUT CLK SDI Shift Register GND DAC8811C ±1 ±1 MSOP-8 (DGK) 4to 85 D11 DAC8811ICDGKT DAC8811C ±1

More information

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S Overture 68W ( ) 0.1 (THD N) 20Hz 20kHz 4 68W 8 38W SPiKe (Self Peak Instantaneous Temperature ( Ke)) SOA (Safe Operating Area) SPiKe 2.0 V ( ) 92dB (min) SN 0.03 THD N IMD (SMTPE) 0.004 V CC 28V 4 68W

More information

PIN S 5 K 0 K 1 K 2 K 3 K 4 V DD V 0 V 1 V 2 V SS OSC SEG 32 SEG 31 SEG 30 SEG 29 SEG 28 SEG 27 SEG 26 SEG 25 SEG 24 SEG 23 SEG 22 SEG 21 SEG 20 SEG 1

PIN S 5 K 0 K 1 K 2 K 3 K 4 V DD V 0 V 1 V 2 V SS OSC SEG 32 SEG 31 SEG 30 SEG 29 SEG 28 SEG 27 SEG 26 SEG 25 SEG 24 SEG 23 SEG 22 SEG 21 SEG 20 SEG 1 1/3 1/4 LCD NJU6535 LCD 1/3 1/4 LCD key(scan 6 Scan 5) CPU 3 4 42 41 1/3 126 1/4 164 LED NJU6535FH1 LCD 42 126 164 30 Scan 6 Scan 5 1/2, 1/3 LED 4 (,,, CS) (8 ) 4.5 ~ 5.5V 5.5V QFP64-H1 CMOS ( :P) -1-

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

M54640P データシート

M54640P データシート お客様各位 カタログ等資料中の旧社名の扱いについて 200 年 4 月 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

MSM56V16160F

MSM56V16160F 1 電子デバイス MSM56V16160F 2-Bank 524,288-Word 16-Bit SYNCHRONOUS DYNAMIC RAM 2001 2 2001 1 MSM56V16160F CMOS 2 524,288 16 RAM 3.3V LVTTL 4 CMOS 1 2 524,288 16 3.3V 0.3V LVTTL LVTTL 4096 /64ms Latency 1 2 3

More information

DS

DS FUJITSU SEMICONDUCTOR DATA SHEET DS4 272 1 ASSP (AC / DC ) BIPOLAR, IC,, 2 ma, 5 V SOP 16 1 AC/DC Copyright 1986-211 FUJITSU SEMICONDUCTOR LIMITED All rights reserved 211.5 (TOP VIEW) IN1 1 16 IN2 IN1

More information

TCR-10(PC)

TCR-10(PC) 8CN( 6 ) 0 (Am9A) 6 ( 80 ) 7MHz( )LS-TTL SRCGATEFF BCD 0k (SRCGATE) EXO-(kinseki) 4MHz( ) 8CN( 6 ) LS-TTL 0k (DI07) VIH V (Min.) VIL 0.8V (Max.) IIH 0A (Max.) at VI =.7V IIL -0.mA (Max.) at VI = 0.4V VOH.4V

More information

N12866N2P-H.PDF

N12866N2P-H.PDF 16Mx64bits PC133 SDRAM SO DIMM Based on 16Mx16 SDRAM with LVTTL, 4 banks & 8K Refresh (16M x 16bit) /. / 1 A0 ~ A12 BA0, BA1 CK0, CK1 CKE0 /S0 /RAS /CAS /WE DQM0 ~ DQM7 DQ0 ~ DQ63 SA0~2 SDA SCL VCC 3.3

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

基盤設計時資料

基盤設計時資料 Rev.1.1 JTAG_Board_Design_Rev1.1.ppt Page: 1 JTAG_Board_Design_Rev1.1.ppt Page: 2 JTAG_Board_Design_Rev1.1.ppt Page: 3 JTAG_Board_Design_Rev1.1.ppt Page: 4 JTAG_Board_Design_Rev1.1.ppt Page: 5 ispjtag

More information

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ LM193,LM2903,LM293,LM393 LM193/ Low Power Low Offset Voltage Dual Comparators Literature Number: JAJSB74 2 LM293 2.0mV 2 A/D VCO MOS LM293 TTL CMOS LM293 MOS LM393 LM2903 Micro SMD 8 ( 0.3mm) Squarewave

More information

SED1353 Technical Manual

SED1353 Technical Manual SED1353 Series Dot Matrix Graphics LCD Controller MF119-1b 1. 2. 3. 4. 5. 6. MS-DOS Windows Microsoft PC/AT VGA IBM International Business Machines SEIKO EPSON CORPORATION 1997 SED1353 Series Dot Matrix

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

5

5 検索エンジン (google Yahoo Goo MSN Excite Infoseek ) で サーチされ PDF ファイルを直接ダウンロードされた方へ http://marsit.info が下記ホームページの入口です 下記の メインページへ アニメで観るサブページへ カードセキュリティ 提案アニメーション 特許明細書など のダウンロード 国からの委託研究報告 ダウンロード 総務省への開発提案と評価

More information

Untitled

Untitled R1LV0816ABG -5SI, 7SI 8Mb Advanced LPSRAM (512k word x 16bit) RJJ03C0295-0100 Rev.1.00 2009.12.14 R1LV0816ABG 0.15µm CMOS 524,288 16 RAM TFT R1LV0816ABG R1LV0816ABG 7.5mm 8.5mm BGA (f-bga [0.75mm, 48 ])

More information

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp)

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp) ,Q /Q Tiny Low Power Operational Amplifier with Rail-to-Rail Input and Output Literature Number: JAJS809 CMOS SOT23-5 CMOS LMC6482/6484 PHS (PDA) PCMCIA 5-Pin SOT23 CMOS 19940216 33020 23900 11800 2006

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information