Curriculum Vitae ( ) kondo , Software Controlled On-Ch

Size: px
Start display at page:

Download "Curriculum Vitae ( ) kondo , Software Controlled On-Ch"

Transcription

1 Curriculum Vitae ( ) kondo@hal.ipc.i.u-tokyo.ac.jp kondo , Software Controlled On-Chip Memory for High-Performance and Low-Power Processor, ( ), ( ), , HPC, ( ), ( ), , LSI,, ( ), , , , , , , , , , IEEE International Symposium on System-on-Chip, Best Paper Award ,, , IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips XVI), Best Poster Award , SACSIS2012, , SACSIS2011, , , SACSIS2008, , SACSIS2005, , 2003 (HPCS2003),. [1] Shaswot Shresthamali, Masaaki Kondo, and Hiroshi Nakamura, Adaptive Power Management in Solar Energy Harvesting Sensor Node using Reinforcement Learning, ACM Transactions on Embedded Computing Systems, Vol.16, No.5s, pp.181:1-181:21, Oct [2] Yuan He, Masaaki Kondo, Takashi Nakada, Hiroshi Sasaki, Shinobu Miwa, and Hiroshi Nakamura, A Runtime Multi-Optimization Framework to Realize Energy Efficient Networks-on-Chip, IEICE Transactions on Information and Systems, Vol.E99-D, No.12, pp , Dec [3] Atsushi Koshiba, Mikiko Sato, Kimiyoshi Usami, Hideharu Amano, Ryuichi Sakamoto, Masaaki Kondo, Hiroshi Nakamura, and Mitaro Namiki, An Operating System Guided Fine-Grained Power Gating Control Based on Runtime Characteristics of Applications, IEICE Transactions on Electronics, Vol.E99-C, No.8, pp , Aug [4] Atsushi Koshiba, Motoki Wada, Ryuichi Sakamoto, Mikiko Sato, Tsubasa Kosaka, Kimiyoshi Usami, Hideharu Amano, Masaaki Kondo, Hiroshi Nakamura, and Mitaro Namiki, A Fine-Grained Power Gating Control on Linux Monitoring Power Consumption of Processor Functional Units, IEICE Transactions on Electronics, Vol.E98-C, No.7, pp , July

2 [5],,,, (ACS), Vol.8, No.1, pp.34-50, [6],,,,,,, FLAT: MPI GPU,, Vol.6, No.4, pp , [7] Son Truong Nguyen, Masaaki Kondo, Tomoya Hirao, and Koji Inoue, A Prototype System for Many-core Architecture SMYLEref with FPGA Evaluation Boards, IEICE Transactions on Information and Systems, Vol.E96-D, No.8, pp , Aug [8] Hiroshi Nakamura, Weihan Wang, Yuya Ohta, Kimiyoshi Usami, Hideharu Amano, Masaaki Kondo, Mitaro Namiki, Fine-Grained Run-Tume Power Gating through Co-optimization of Circuit, Architecture, and System Software Design, IEICE Transactions on Electronics (INVITED PAPER), Vol.E96-C, No.4, pp , April [9],,,,, ACS, Vol.4, No.4, pp.36-50, [10] Zhao Lei, Daisuke Ikebuchi, Kimiyoshi Usami, Mitaro Namiki, Masaaki Kondo, Hiroshi Nakamura, Hideharu Amano, Design and Implementation Fine-grained Power Gating on Microprocessor Functional Units, IPSJ Transactions on System LSI Design Methodology, Vol.4, No., pp , Aug [11],,,,, ACS, Vol.4, No.2, pp.40-58, [12],,,,,,,,,,,,,,,, MIPS R3000,, Vol.J93-D No.6, pp , [13],,, Pipeline Blocking, ACS, Vol.2, No.3, pp.83-95, [14] Hiroshi Sasaki, Masaaki Kondo, and Hiroshi Nakamura, Energy-Efficient Dynamic Instruction Scheduling Logic Through Instruction Grouping, IEEE Transactions on VLSI (Transactions Briefs), Vol.17 Issue 6, pp , June [15],,, CMP, ACS, Vol.1, No.2, pp , [16],,,, Web, ACS, Vol.1, No.1, pp , [17],,,,,,,, Vol.49, No.SIG2(ACS21), pp.20-36, [18],, CMP, Vol.48, No.SIG13(ACS19), pp , [19] Kouichi Watanabe, Masashi Imai, Masaaki Kondo, Hiroshi Nakamura, Takashi Nanya, Design Method of High Performance and Low Power Functional Units Considering Delay Variations, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Vol.E89-A, No. 12, pp , Dec [20],,,,,,, Vol.47, No.SIG18 (ACS 16), pp.80-91, [21],,,,, Vol.47, No.SIG12 (ACS 15), pp , [22],,,,,, Vol.J98-D No.8, pp , [23],,, Vol.46, No.SIG12(ACS11), pp.62-72, [24],,,,, Vol.45, No.SIG11(ACS7), pp , [25],,,, Vol. 45, No. SIG 6(ACS 6), pp.1-11,

3 [26],,,,, Vol.45, No. SIG(ACS4), pp.77-87, [27] Masaaki Kondo, Takuro Hayashida, Masashi Imai, Hiroshi Nakamura, Takashi Nanya, and Atsushi Hori, Evaluation of Checkpointing Mechanism on SCore Cluster System, IEICE Transactions on Information and Systems, Vol.E86-D, No.12, Dec [28] Masaaki Kondo and Hiroshi Nakamura, Reducing Memory System Energy by Software-Controlled On-Chip Memory, IEICE Transactions on Electronics, Vol.E86-C, No. 4, pp , April [29],,,,,, HPC SCIMA SMP,, Vol.44, No.SIG6(ACS1), [30],,, SCIMA,, Vol.42, No.SIG 12(HPS 4), pp.37-48, [31],,,,,,, SMP-PC,, Vol. 41, No. SIG 5(HPS 1), pp.70-79, [32],,,, SCIMA,, Vol. 41, No. SIG 5(HPS 1), pp.15-27, (CONFERENCE) [1] Mineto Tsukada, Masaaki Kondo, Hiroki Matsutani, OS-ELM-FPGA: An FPGA-Based Online Sequential Unsupervised Anomaly Detector, The 16th International Workshop on Algorithms, Models and Tools for Parallel Computing on Heterogeneous Platforms (HeteroPar 18), Aug [2] Ryuichi Sakamoto, Tapasya Patki, Thang Cao, Masaaki Kondo, Koji Inoue, Masatsugu Ueda, Daniel Ellsworth, Barry Rountree, and Martin Schulz, Analyzing Resource Trade-offs in Hardware Overprovisioned Supercomputers, 32nd IEEE International Parallel & Distributed Processing Symposium (IPDPS2018), 10pages, May [3] Yasutaka Wada, Yuan He, Thang Cao, Masaaki Kondo, A Power Management Framework with Simple DSL for Automatic Power-Performance Optimization on Power-Constrained HPC Systems, SupercomputingAsia 2018 (SCA18), 20pages, Mar [4] Ryuichi Sakamoto, Ryo Takata, Jun Ishii, Masaaki Kondo, Hiroshi Nakamura, Tetsui Ohkubo, Takuya Kojima and Hideharu Amano, The Design and Implementation of Scalable Deep Neural Network Accelerator Cores, IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC- 17), 8pages, Sep [5] Ryuichi Sakamoto, Thang Cao, Masaaki Kondo, Koji Inoue, Masatsugu Ueda, Tapasya Patki, Daniel Ellsworth, Barry Rountree, and Martin Schulz, Production Hardware Overprovisioning: Real-world Performance Optimization using an Extensible Power-aware Resource Management Framework, 31st IEEE International Parallel & Distributed Processing Symposium (IPDPS2017). 10pages, May [6] Thang Cao, Wei Huang, Yuan He, and Masaaki Kondo, Cooling-Aware Job Scheduling and Node Allocation for Overprovisioned HPC Systems, 31st IEEE International Parallel & Distributed Processing Symposium (IPDPS2017), 10pages, May [7] Tetsui Ohkubo, Ryo Tanaka, Ryuichi Sakamoto, Masaaki Kondo, and Hideharu Amano, NAMACHA: A Software Development Environment for a Multi-Chip Convolutional Network Accelerator, 32nd International Conference on Computers and Their Applications (CATA 17), Mar [8] Yuan He and Masaaki Kondo, Opportunistic Circuit-Switching for Energy Efficient On-Chip Networks, The 24th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2016), 6pages, Sep [9] Thang Cao, Yuan He, and Masaaki Kondo, Demand-Aware Power Management for Power-Constrained HPC Systems, The 16th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid2016), pp.21-31, May [10] Yuichi Inadomi, Tapasya Patki, Koji Inoue, Mutsumi Aoyagi, Barry Rountree, Martin Schulz, David Lowenthal, Yasutaka Wada, Keiichiro Fukazawa, Masatsugu Ueda, Masaaki Kondo, and Ikuo Miyoshi, Analyzing and Mitigating the Impact of Manufacturing Variability in Power-Constrained Supercomputing, The International Conference for High Performance Computing, Networking, Storage and Analysis (SC15), 12pages, Nov

4 [11] Yuan He, Masaaki Kondo, Takashi Nakada, Hiroshi Sasaki, Shinobu Miwa, and Hiroshi Nakamura, Runtime Multi-Optimizations for Energy Efficient On-chip Interconnections, The 33rd IEEE International Conference on Computer Design (ICCD2015) (Accepted as poster presentation), pp , Oct [12] Takeshi Soga, Hiroshi Sasaki, Tomoya Hirao, Masaaki Kondo, and Koji Inoue, A Flexible Hardware Barrier Mechanism for Many-Core Processors, 20th Asia and South Pacific Design Automation Conference (ASP- DAC 2015), pp.61-68, Jan [13] Kimiyoshi Usami, Makoto Miyauchi, Masaru Kudo, Kazumitsu Takagi, Hideharu Amano, Mitaro Namiki, Masaaki Kondo, Hiroshi Nakamura, Unbalanced Buffer Tree Synthesis to Suppress Ground Bounce for Finegrain Power Gating, International Symposium on System-on-Chip 2014, Oct [14] Masaaki Kondo, Hiroaki Kobyashi, Ryuichi Sakamoto, Motoki Wada, Jun Tsukamoto, Mitaro Namiki, Weihan Wang, Hideharu Amano, Kensaku Matsunaga, Masaru Kudo, Kimiyoshi Usami, Toshiya Komoda and Hiroshi Nakamura, Design and Evaluation of Fine-Grained Power-Gating for Embedded Microprocessors, Design, Automation and Test in Europe Conference and Exhibition (DATE2014), 6pages, Mar [15] Kimiyoshi Usami, Masaru Kudo, Kensaku Matsunaga, Tsubasa Kosaka, Yoshihiro Tsurui, Weihan Wang, Hideharu Amano, Hiroaki Kobayashi, Ryuichi Sakamoto, Mitaro Namiki, Masaaki Kondo, Hiroshi Nakamura, Design and Control Methodology for Fine Grain Power Gating based on Energy Characterization and Code Profiling of Microprocessors, 19th Asia and South Pacific Design Automation Conference (ASP-DAC 2014). pp , Jan [16] Yusuke Koizumi, Noriyuki Miura, Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usami, Masaaki Kondo and Hiroshi Nakamura, Demonstration of a Hetero- geneous Multi-Core Processor with 3-D Inductive Coupling Links, 23rd International Conference on Field Programmable Logic and Applications (FPL2013), Demo Presentations, Sep [17] Yusuke Koizumi, Hideharu Amano, Hiroki Matsutani, Noriyuki Miura, Tadahiro Kuroda, Ryuichi Sakamoto, Mitaro Namik, Kimiyoshi Usami, Masaaki Kondo, and Hiroshi Nakamura, Dynamic Power Control with a Heterogeneous Multi-Core System Using a 3-D Wireless Inductive Coupling Interconnect, 2012 International Conference on Field-Programmable Technology (FPT2012), Demo Session, pp , Dec [18] Nobuaki Ozaki, Yoshihiro Yasuda, Yoshiki Saito, Daisuke Ikebuchi, Masayuki Kimura, Hideharu Amano, Hiroshi Nakamura, Kimiyoshi Usami, Mitaro Namiki, Masaaki Kondo, Cool Mega Array: a highly energy efficient reconfigurable accelerator, International Conference on Field-Programmable Technologies (FPT 2011), pp.1-8, Dec [19] Kimiyoshi Usami, Tatsunori Hashida, Satoshi Koyama, Tatsuya Yamamoto, Daisuke Ikebuchi, Hideharu Amano, Mitaro Namiki, Masaaki Kondo, and Hiroshi Nakamura, Adaptive Power Gating for Function Units in a Microprocessor, 11th IEEE International Symposium on Quality Electronic Design (ISQED-2010), pp.29-37, March [20] Daisuke Ikebuchi, Naomi Seki, Yu Kojima, Masahiro Kamata, Lei Zhao, Hideharu Amano, Toshiaki Shirai, Satoshi Koyama, Tatsunori Hashida, Yusuke Umahashi, Hiroki Masuda, Kimiyoshi Usami, Seidai Takeda, Hiroshi Nakamura, Mitaro Namiki, and Masaaki Kondo, Geyser-1: A MIPS R3000 CPU core with Fine Grain Runtime Power Gating, IEEE Asian Solid-State Circuits Conference 2009 (A-SSCC 2009), pp , Nov [21] Noriko Takagi, Hiroshi Sasaki, Masaaki Kondo, Hiroshi Nakamura, Cooperative Shared Resource Access Control for Low-Power Chip Multiprocessors, 14th ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED-2009), pp , Aug [22] Kimiyoshi Usami, Toshiaki Shirai, Tatsunori Hashida, Hiroki Masuda, Seidai Takeda, Mitsutaka Nakata, Naomi Seki, Hidehal Amano, Mitaro Namiki, Masashi Imai, Masaaki Kondo and Hiroshi Nakamura, Design and Implementation of Fine-grain Power Gating with Ground Bounce Suppression, The 22nd IEEE International Conference on VLSI Design, pp , Jan [23] Naomi Seki, Lei Zhao, Jo Kei, Daisuke Ikebuchi, Yu Kojima, Yohei Hasegawa, Hideharu Amano, Toshihiro Kashima, Seidai Takeda, Toshiaki Shirai, Mitustaka Nakata, Kimiyoshi Usami, Tetsuya Sunata, Jun Kanai, Mitaro Namiki, Masaaki Kondo and Hiroshi Nakamura, A Fine Grain Dynamic Sleep Control Scheme in MIPS R3000, XXVI International Conference on Computer Design (ICCD-2008), pp , Oct [24] Ryo Watanabe, Masaaki Kondo, Hiroshi Nakamura, and Takashi Nanya, Power Reduction of Chip Multi- Processors using Shared Resource Control Cooperating with DVFS, XXV International Conference on Computer Design (ICCD-2007), pp , Oct

5 [25] Hiroshi Sasaki, Masaaki Kondo, and Hiroshi. Nakamura, An Intra-Task DVFS Technique based on Statistical Analysis of Hardware Events, International Conference on Computing Frontiers 2007 (CF 2007), pp , May [26] Ryo Watanabe, Masaaki Kondo, Hiroshi Nakamura, and Takashi Nanya, Task Scheduling under Performance Constrations for Reducing Energy Consumption of GALS Multi-Processor SoC, Design Automation and Test in Europe 2007 (DATE 2007), April [27] Hiroshi Sasaki, Masaaki Kondo, and Hiroshi. Nakamura, Energy-Efficient Dynamic Instruction Scheduling Logic through Instruction Grouping, International Symposium on Low Power Electronics and Design 2006 (ISLPED 2006), pp.43-48, Oct [28] Masaaki Kondo and Hiroshi Nakamura, Small, Fast and Low-Power Register File by Bit-Partitioning, 11th International Symposium on High-Performance Computer Architecture (HPCA 2005), pp.40-49, Feb [29] Hiroshi Nakamura, Takuro Hayashida, Masaaki Kondo, Yuya Tajima, Masashi Imai, and Takashi Nanya, Skewed Checkpointing for Tolerating Multi-Node Failures, 23rd Symposium on Reliable and Distributed Systems (SRDS 2004), pp , Oct [30] Taku Ohneda, Masaaki Kondo, Masashi Imai, and Hiroshi Nakamura, Design and Evaluation of High Performance Microprocessor with Reconfigurable On-Chip Memory, 2002 Asia-Pacific Conference on Circuits and Systems (APCCAS 2002), pp , Oct [31] Masaaki Kondo, Mitsugu Iwamoto, and Hiroshi Nakamura, Cache Line Impact on 3D PDP Solvers, 4th International Symposium on High Performance Computing (ISHPC 2002), LNCS 2327, pp , May [32] Masaaki Kondo, Hideki Okawara, Hiroshi Nakamura, and Taisuke Boku, SCIMA: Software Controlled Integrated Memory Architecture for High Performance Computing, 2000 International Conference on Computer Design (ICCD 2000), pp , Oct [33] Masaaki Kondo, Hideki Okawara, Hiroshi Nakamura, Taisuke Boku, and Shuichi Sakai, SCIMA: A Novel Processor Architecture for High Performance Computing, HPC-Asia 2000, pp , May (WORKSHOP) [1] Noriyuki Miura, Yusuke Koizumi, Eiichi Sasaki, Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usami, Masaaki Kondo, Hiroshi Nakamura, A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface, IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips XVI), April [2] Takefumi Miyoshi Keigo Shima Masaaki. Kondo Hidetsugu Irie, Hiroki Honda and Tsutomu Yoshinaga, FLAT: A GPU Programming Framework to Provide Embedded MPI, 5th Workshop on General Purpose Processing on Graphics Processing Units (GPGPU 2012), pp March [3] Nobuaki Ozaki, Yoshihiro Yasuda, Yoshiki Saito, Daisuke Ikebuchi, Masayuki Kimura, Hideharu Amano, Hiroshi Nakamura, Kimiyoshi Usami, Mitaro Namiki, Masaaki Kondo, SLD-1(Silent Large Datapath) A Ultra Low Power Reconfigurable Accelerator, IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips XIV), April [4] Lei Zhao, Daisuke Ikebuchi, Yoshiki Saito, Naomi Seki, Yu Kojima, Hideharu Amano, Satoshi Koyama, Tatsunori Hashida, Yusuke Umahashi, Daiki Masuda, Kimiyoshi Usami, Tetsuya Sunata, Kazuki Kimura, Mitaro Namiki, Seidai Takeda, Hiroshi Nakamura, Masaaki Kondo, Geyser-1 and Geyser-2: MIPS R3000 CPU Chips with Fine-grain Runtime Power Gating, IEEE Symposium on Low-Power and High-Speed Chips, (COOL Chips XIII), April [5] Hiroshi Sasaki, Takatsugu Oya, Masaaki Kondo, and Hiroshi Nakamura, Power-Performance Modeling of Heterogeneous Cluster-Based Web Servers, Energy Efficient Grids, Clouds and Clusters Workshop (E2GC2), Oct [6] Toshiya. Komoda, Hiroshi Sasaki, Masaaki Kondo, and Hiroshi Nakamura, Compiler Directed Fine Grain Power Gating for Leakage Power Reduction in Microprocessor Functional Units, 7th Workshop on Optimizations for DSP and Embedded Systems (ODES-2009), Mar [7] Masaaki Kondo Yoshimichi Ikeda, and Hiroshi Nakamura, A High Performance Cluster System Design by Adaptive Power Control Workshop on High-Performance Power-Aware Computing (HPPAC 2007), Mar [8] Masaaki Kondo, Hiroshi Sasaki, and Hiroshi Nakamura, Improving Fairness, Throughput and Energy- Efficiency on a Chip Multiprocessor through DVFS, Workshop on Design, Architecture and Simulation of Chip Multi-Processors 2006 (dascmp 2006), Dec

6 [9] Hiroshi Sasaki, Masaaki Kondo, and Hiroshi. Nakamura, Dynamic Instruction Cascading on GALS Microprocessor, International Workshop on Power And Timing Modeling, Optimization and Simulation 2005 (PATMOS 2005), LNCS 3728, pp.30-39, Sep [10] Masaaki Kondo and Hiroshi Nakamura, Dynamic Processor Throttling for Power Efficient Computations, Workshop on Power-Aware Computer Systems 2004 (PACS 2004), LNCS 3471, pp , Dec [11] Chikafumi Takahashi, Masaaki Kondo, Taisuke Boku, Daisuke Takahashi, Hiroshi Nakamura, and Mitsuhisa Sato, SCIMA-SMP: On-chip Memory Processor Architecture for SMP, 3rd workshop on Memory performance issues, ACM Electronic Edition, pp , June [12] Motonobu Fujita, Masaaki Kondo, and Hiroshi Nakamura Data Movement Optimization for Software- Controlled On-Chip Memory, 8th Workshop on Interaction between Compilers and Computer Architectures (INTERACT 2004), pp , Feb [13] Masaaki Kondo, Shinichi Tanaka, Motonobu Fujita, Hiroshi Nakamura, Reducing Memory System Energy in Data Intensive Computations by Software-Controlled On-Chip Memory, Workshop on Compilers and Operating Systems for Low Power, (COLP 2002), Sep [14] Hiroshi Nakamura, Masaaki Kondo, and Taisuke Boku, Software Controlled Reconfigurable On-Chip Memory for High Performance Computing, 2nd International Workshop on Intelligent Memory Systems, LNCS 2107, pp.15-32, [1] Masaaki Kondo, Reinforcement Learning-Based Adaptive Power Management for Energy Harvesting IoT Devices, International Forum on MPSoC for Software-defined Hardware (MPSoC), July [2] Masaaki Kondo, Energy Efficient Network-on-Chips with Opportunistic Circuit-Switching for MPSoCs, International Forum on MPSoC for Software-defined Hardware (MPSoC), July [3] Masaaki Kondo, Research and Development of Power Management Framework for Exascale Computing, The 35th JSST Annual Conference International Conference on Simulation Technology (Invited Talk), Oct [4] Masaaki Kondo, Demand-Aware Power Management for Power-Constrained HPC Systems, 16th International Forum on Embedded MPSoC and Multicore (MPSoC 16), July [5],,,,,,,, TCI SOTB ICD, [6],, STE ( ), [7] Masaaki Kondo, Assisting Cache Replacement by Helper-Threading for MPSoCs, 15th International Forum on Embedded MPSoC and Multicore (MPSoC 15), July [8] Masaaki Kondo, Power Management Framework for Extreme-Scale Computing, The workshop on Big Data and Extreme-scale Computing (BDEC), Jan [9] Masaaki Kondo, Evaluating Power-Efficientcy for an Embedded Microprocessor with Fine-Grained Power- Gating Demand-Aware Power Management for Power-Constrained HPC Systems, 14th International Forum on Embedded MPSoC and Multicore (MPSoC 14), July [10], Power Wall,, [11] Masaaki Kondo, SMYLEref: A Reference Architecture for Manycore-Processor SoCs, 13th International Forum on Embedded MPSoC and Multicore (MPSoC 13), July [12] Masaaki Kondo, Son Truong Nguyen, Tomoya Hirao, Takeshi Soga, Hiroshi Sasaki, and Koji Inoue, SMYLEref: A Reference Architecture for Manycore-Processor SoCs, Asia and South Pacific Design Automation Conference (ASP-DAC), pp , Jan [13],,,, 2012 ( ), [14],,, SMYLEref, 4, [15],,, Aug

7 [16] Koji Inoue and Masaaki Kondo, SMYLE: Scalable Many-core for Low-Energy computing, 12th International Forum on Embedded MPSoC and Multicore (MPSoC 12), July [17] Masaaki Kondo, Report on Exascale Architecture Roadmap in Japan, 8th The International Exascale Software Roadmap Meeting (IESP Meeting 8), April [18],,, (SWEST2011), [1], HPC,, Vol. 36, No. 2, pp.85-88, [2] Noriyuki Miura, Yusuke Koizumi, Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usami, Masaaki Kondo, and Hiroshi Nakamura, A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface 3D NoC, IEEE Micro Magazine, Vol.33, Issue 6, pp.6-15, Nov/Dec [3] Nobuaki Ozaki, Yoshihiro Yasuda, Mai Izawa, Yoshiki Saito, Daisuke Ikebuchi, Hideharu Amano, Hiroshi Nakamura, Kimiyoshi Usami, Mitaro Namiki, Masaaki Kondo, Cool Mega-Arrays: Ultralow-Power Reconfigurable Accelerator Chips, IEEE MICRO Magazine, Vol.31, No.6, Dec [4] Hiroshi Sasaki, Hideharu Amano, Kimiyoshi Usami, Masaaki Kondo, Mitaro Namiki, and Hiroshi Nakamura (Ishfag Ahmad and Sanjay Ranka ), Handbook of Energy-Aware and Green Computing, 1 : Geyser: Energy-Efficient MIPS CPU Core with Fine-Grained Run-Time Power Gating, Chapman Hall/CRC Computer Information Science Series, pp.49-65, [5] ( ), LSI, 2 : SimpleScalar Tool Set,, ( ) [1] 24th Asia and South Pacific Design Automation Conference (ASP-DAC 2019),, [2] The 51th Annual IEEE/ACM International Symposium on Microarchitecture (Micro 2018),, [3] The 51th Annual IEEE/ACM International Symposium on Microarchitecture (Micro 2018), Finance Co-Chair, [4] The IEEE Cluster 2018, TPC Area Chair (Architecture, Network/Communications, and Management Area), [5] International Symposium on Low Power Electronics and Design (ISLPED 18),, [6] 2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS-2018),, [7] 23rd Asia and South Pacific Design Automation Conference (ASP-DAC 2018),, [8] International Symposium on Low Power Electronics and Design (ISLPED 17),, [9] 46st International Conference on Parallel Processing (ICPP 2017),, [10] The IEEE Cluster 2017, Publicity Chair, [11] 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS-2017), Publicity Co-Chair, [12] 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS-2017),, [13] 22nd Asia and South Pacific Design Automation Conference (ASP-DAC 2017),, [14] IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2016),, [15] The IEEE Cluster 2016,, [16] International Symposium on Low Power Electronics and Design (ISLPED 16),, [17] 16th International Forum on MPSoC for Software-defined Hardware (MPSoC 16), Program Co-Chair, [18] The 25th International Symposium on High-Performance Parallel and Distributed Computing (HPDC 16), Publicity Co-Chair,

8 [19] IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2015),, [20] The IEEE Cluster 2015,, [21] International Symposium on Low Power Electronics and Design (ISLPED 15),, [22] 52nd Design Automation Conference (DAC 2015),, [23] The International Conference for High Performance Computing, Networking, Storage and Analysis (SC14),, [24] International Symposium on Low Power Electronics and Design (ISLPED 14),, [25] 2013 International Conference on High Performance Computing (HiPC 2013),, [26] International Symposium on Low Power Electronics and Design (ISLPED 13),, [27] 1st International Workshop on Strategic Development of High Performance Computers,, [28] International Symposium on Low Power Electronics and Design (ISLPED 12),, [29] IEEE 17th Pacific Rim International Symposium on Dependable Computing (PRDC),, [30] 41st International Conference on Parallel Processing (ICPP 2012),, [31] 18th IEEE International Conference on High Performance Computing (HiPC2011),, [32] 17th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA),, [33] International Symposium on Low Power Electronics and Design (ISLPED 11),, [34] International Symposium on Low Power Electronics and Design (ISLPED 11),, [35] IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips),, [36] International Symposium on Low Power Electronics and Design (ISLPED 10),, [37] IEEE 16th Pacific Rim International Symposium on Dependable Computing (PRDC),, [38] Sixteenth International Conference on Parallel and Distributed Systems (ICPADS),, [39] 2010 International Symposium on Embedded Multicore SoCs (MCSoC),, [40] 10th IEEE International Conference on Computer and Information Technology (CIT),, [41] IEEE Symposium on Low-Power and High-Speed Chips 2010 (COOL Chips),, [42] Tenth International Conference on Parallel and Distributed Computing, Applications and Technologies (PD- CAT),, [43] 2009 International Symposium on Embedded Multicore SoCs (MCSoC),, [44] IEEE Symposium on Low-Power and High-Speed Chips 2009 (COOL Chips),, [45] 10th IEEE International Conference on High Performance Computing and Communications (HPCC),, ( ) [1] FIT ,, [2] FIT ,, [3] 1st Cross-Disciplinary Workshop on Computing Systems, Infrastructures, and Programming (xsig2017),, [4],, [5] 2015,, [6],, [7] 8,, [8],, [9],, [10] / / SWoPP2012,, [11] / / SWoPP2011,, [12] / / SWoPP2010,,

9 [13] SACSIS2010,, [14] / / SWoPP2009,, [15] SACSIS2009,, [16] 71,, [17],, [18] SACSIS2008,, [19] SACSIS2007,, [1] ( ), :,,,, : :, : [2] , :,,, : :, : [3] , :,, :, :, : [4] , :,, :, :, : [5] , :,,,, :, :, : [1], (B),, ( : ), 900 (3 ), [2] CREST,, ( : ), 33,000 (3 ), [3], (S),, ( : ), 9,000 (5 ), [4] CREST,,, 111,804 (5 ), [5], (A),,, 9,400 (4 ), ( : ) [1],,,,,,,,, Cross-disciplinary Workshop on Computing Systems, Infrastructures, and Programming (xsig2017), [2], NoC, Crossdisciplinary Workshop on Computing Systems, Infrastructures, and Programming (xsig2017), [3],,,,,,, 2015, [4],,,, MPI, 2015, [5],,,,, SACSIS2013, [6],,,,,, Linux, SACSIS2012, pp ,

10 [7],,,,,,,,,, CMA:, 2011, pp , ( ). [8],,,,, MPI GPU, SACSIS2011, pp , [9],,,,, SACSIS2009, pp.11-18, [10],,,,,,, Linux, 20, Vol.2008, No.12, pp.77-86, [11],,,,,, SACSIS2008, pp , [12],,, : CMP, SACSIS2008, pp , (SACSIS2008 ). [13],,,, SACSIS2008, pp.73-80, [14], Lei Zhao,,,,,,,,,,,,,,,, MIPS R3000, SACSIS2008, pp.65-72, [15],,,,,,,, 2007 (ComSys 2007), Vol.2007, No.14, pp , Nov [16],, CMP, SAC- SIS2007, pp , [17],,,, SACSIS2006, pp , [18],,,,,, SACSIS2006, pp.67-74, [19],,, SACSIS2005, pp , (SACSIS2005 ). [20],,,,, SACSIS2004, pp.3-10, [21],,,,,, HPC SCIMA SMP, HPCS2003, pp.47-54, ( ). [22],,,,, JSPP2002, pp , ( : ) [1],,, Cross-disciplinary Workshop on Computing Systems, Infrastructures, and Programming (xsig2017), [2] Atsushi Koshiba, Jun Tsukamoto, Motoki Wada, Ryuichi Sakamoto, Mikiko Sato, Tsubasa Kosaka, Kimiyoshi Usami, Hideharu Amano, Masaaki Kondo, Hiroshi Nakamura, and Mitaro Namiki, A Fine-grained Power Gating Control using Leakage Monitor by Linux Process Scheduler, IEEE Symposium on Low-Power and High-Speed Chips (CoolChips XVII), Apr [3] Noriyuki Miura, Yusuke Koizumi, Eiichi Sasaki, Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda and Hideharu Amano, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usam, Masaaki Kondo, and Hiroshi Nakamura, A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface, HOTCHIPS 2013, Aug [4],,,,, SACSIS2013,

11 [5] Yusuke Koizumi, Noriyuki Miura, Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usami, Masaaki Kondo, Hiroshi Nakamura, Performance and Energy Optimization of a Heterogeneous Multi-Core Processor with Inductive Coupling Links, IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips XVI), April [6] Yusuke Koizumi, Eiichi Sasaki, Hideharu Amano, Hiroki Matsutani, Yasuhiro Take, Tadahiro Kuroda, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usami, Masaaki Kondo, and Hiroshi Nakamura, CMA-Cube: A Scalable Reconfigurable Accelerator with 3-D Wireless Inductive Coupling Interconnect, 22nd International Conference on Field Programmable Logic and Applications (FPL2012), pp , Aug [7],,,,,, FPGA SMYLEref, SACSIS2012, pp.14-15, ( ). [8],,,,,,,,,, FPGA, SACSIS 2011, pp , ( ). [9],,,,, SACSIS2009, pp , ( : ) [1],,, FPGA,, vol.118, no.165, CPSY , pp , [2],,,,, Rump kernel,, Vol.2018-OS-144, No.5, [3],,,, Vol.2018-ARC-231, No.11, [4],,,, Vol.2018-ARC-231, No.10, [5],,,, DFS/DCT,, Vol.2018-HPC-163, No.3, [6],,, DNN, Vol.2018-ARC-229, No.22, [7],,,, Vol.2017-ARC-228, No.8, [8],,,, LPWA IoT Vol.2017-ARC-227, No.7, [9],,,, Vol.2017-ARC-228, No.3, [10],, 22, [11],,,, Vol.2017-ARC-227, No.28, [12],,, DNN, Vol.2017-ARC-227, No.25, [13],,,,, Tapasya Patki, Daniel Ellsworth, Barry Rountree, Martin Schulz, HPC,, Vol HPC-160, No.1, [14],,,,,,, IP, Vol.2017-ARC-225, No.27, [15],,, Vol.2017-ARC-225, No.26, [16],,,,,,,,, Vol.2016-ARC-223, No.4,

12 [17],,,,,, FPGA, Vol.2016-ARC-222, No.12, [18],,,,,,,, HPC, Vol.2016-HPC-155, No.20, [19],,,, Vol.2016-ARC-219, No.18, [20],,,,,,,,,, Vol.2015-ARC-217, No.14, [21],,,,,,,,, Vol.2015-HPC-151, No.1, [22],,,,,,, Barry Rountree, Marti Schulz, Tapasya Patki,David Lowenthal,,, Vol.2015-HPC-150, No.27, [23],,,,,, FPGA, Vol.2015-ARC-215 & 2015-OS-133, No.3, [24],,,,, Vol.114, No.506, CPSY , pp.83-88, [25],,,,,,, Vol.114, No.427, CPSY , pp , [26],,,, 3, Vol.2014-ARC-213 & 2014-HPC-147, No.12, [27],,,, HPC, 2014-ARC-213 & 2014-HPC-147, No.7, [28],,,,,,, MPI, 2013-ARC-213 & 2013-HPC-147, No.6, [29] Masaaki Kondo, Ikuo Miyoshi, Koji Inoue, and Shinobu Miwa, Power Management Framework for Post- Petascale Supercomputers, ATIP Workshop at SC14: Japanese Research Towards Next-Generation Extreme Computing, Nov [30],,,,,,, MPI, Vol.2014-HPC-146, No.6, pp.1-8, [31],,,,,,, Vol.2014-HPC-146, No.1, pp.1-7, w [32],,,,,, Vol.2014-HPC-145, No.11, pp.1-8, [33],,,,,,,,,,, Linux, Vol.2014-ARC-210 & 2014-OS-129, No.14, pp.1-9, [34],,,, HPC, Vol.2013-ARC-207 & 2013-HPC-142, No.31, [35],,,,, DVFS GPU,, Vol.2013-ARC-207 & 2013-HPC-142, No.14, [36],,,, GPU, Vol.2013-ARC-207 & 2013-HPC-142, No.15, [37],,,, RAPL HPC, Vol.2013-HPC-141, No.20,

13 [38],,,, GPU, Vol.2012-ARC-204, No.2, [39],,,,,,,,, OS, Vol.2012-ARC-200 & 2012-OS-121, No.16, [40],,,,,, Vol.2012-ARC-199, No.13, [41],,,,,, Vol.2012-ARC-199, No.1, [42],,,,, FPGA SMYLEref, Vol.2012-ARC-198, No.15, [43],,,,,,,,,, CMA-1,, 111(218), pp.1-6, [44],,,,,, MPI GPU,, 111(163), pp.49-54, [45],,,,,,, OS,, Vol.2011-OS-117, No.30, [46],,,,,,,, OS, Vol.2011-OS-117, No.1, [47],,,,, Vol.2011-ARC-194, No.12, [48],,,,, Vol.2011-ARC-194, No.3, [49],,,, Vol.2011-ARC-194, No.2, [50], OS, Vol.2011-OS-116, No.7, [51],,,,,,,,,, SLD(Silent Large Datapath),, VLSI 110(360), pp , [52],,,,,,,,,, SLD(Silent Large Datapath),, VLSI 110(360), pp , [53],,,,,,, OS, Vol.2010-OS-114, No.8, [54],,,,,,,,,, Vol.2010-ARC-187, No.2, [55],,,, Lei Zhao,,,,,,,,,,,, Geyser-1, Vol.2010-ARC-187, No.1, [56],,,,,,,, MIPS FPGA OS, Vol.2009-OS-112, No.14, [57],,,,, Vol.2009-ARC-184, No.14, [58],,,, DVFS, Vol.2009-ARC-183 & 2009-OS-111, No.35,

14 [59],,,,,,,,, MIPS FPGA, Vol.2009-ARC-183 & 2009-OS-111, No.34, [60],,, VASUTAN Tunbunheng,,,,, SMA,, 108(300), pp.9-14, [61],,,,, Vol.2008-ARC-180, pp.33-39, [62],,,, Web, Vol.2008-ARC-179, pp , [63],,, CMP, Vol.2008-ARC-179, pp.31-36, [64],,,,,,,,,,,,,,,, Vol.2008-ARC-178, pp.87-92, [65],,,,,,, MIPS OS, Vol.2008-OS-108, pp , [66],,,,, Vol.2008-OS-108, pp.75-82, [67],,,, 2008-ARC HPC-114, pp , [68], Lei Zhao,,,,,,,,,,,,,,,, MIPS R3000, ARC-176, pp.71-76, [69],,,,,, ARC-175, pp.83-88, [70],,,,,, SLDM-132, pp.37-42, [71],,,, Web, ARC-174, pp , [72],,, CMP, ARC-174, pp.85-90, [73],,, : CMP, ARC-174, pp.79-84, [74],,,,, Linux, OS-106, pp.9-16, [75],,,,,, LSI, ARC-173, pp.79-84, [76],,,,,,,,,, MIPS R3000, ARC-173, pp.49-54, [77],,,,, Web, ARC-172 HPC-109, pp.91-76, [78],,,, ARC-170, pp.73-78, [79],,, ARC-170, pp.49-54, [80],,,,, ARC-169, pp , [81],,,,, SoC, ARC-169, pp.67-72,

15 [82],,,, ARC-169, pp.13-18, [83],,,, ARC-168, pp.13-18, [84],,,, ARC-167 HPC-105, pp , [85],,,,, DVFS, ARC-166, pp.43-48, [86],,, GALS, ICD ( ), pp.13-18, [87],, DVFS, ICD , pp.19-24, [88],,,,, bit, VLD , pp.37-42, [89],,,,,, VLD , pp.31-26, [90],,, ARC-165, pp.73-74, [91],,,,,, DC , pp.7-12, [92],,, GALS, ARC-164, pp.67-72, [93],,,,, GALS SoC, ARC-164, pp.61-66, [94],, CMP, ARC-163, pp.25-30, [95],,,, ARC-162 HPC-101, pp , [96],, GALS, ARC-160, pp.65-70, [97],,,,,, Skewed Checkpointing, DC , pp.37-42, [98],, ARC-159, pp.13-18, [99],,,,,, ARC-155, pp.93-98, [100],,,,,, HPC,, DC , pp.7-12, [101],,,,, ARC-154, pp , [102],,,,, ARC-154, pp , [103],,,,,,, Score,, HPC-93, pp.25-30, [104],,,,,, ARC-151, pp.43-48, [105],,,,,, HPC SCIMA SMP,, ARC-149, pp.43-48, [106],,,,, ARC-149, pp.1-6, [107],,, SCIMA,, ARC-147 HPC-89, pp.79-84,

16 [108],,,,,,, ARC-146, pp.31-36, [109],,, SCIMA,, ARC-144, pp , [110],,, 3 PDE Solver,, ARC-142 HPC85, pp.91-96, [111],,,,, NASPB CG, FT SCIMA,, HPC-83, pp.31-36, [112],,,,,, SMP-PC OpenMP+MPI,, ARC-137 HPC-80, pp , [113],,,,, PC,, HPC-77, pp , [114],,,,,, ARC-136, pp [115],,,, HPC,, ARC-134, pp.1-6, [116],,,, HPC,, ARC-132 OS-80 HPC-75, pp.85-90, ( ) [1] Masaaki Kondo, Panel Discussion: AI (Artificial Intelligence) and SoC, IEEE Asian Solid-State Circuits Conference 2016, Nov [2] Masaaki Kondo, Panel Discussion: International Collaboration Planning, 1st International Workshop on Strategic Development of High Performance Computers, March [3], 8, [4] SMYLEref, NEDO 2, [5],, 3, ( ) [1] C. Cantalupo, J. Eastep, S. Jana, M. Kondo, M. Maiterth, A. Marathe, T. Patki, B. Rountree, R. Sakamoto, M. Schulz, C. Trinitis, A Strawman for an HPC PowerStack, Aug [2],, ( ), HPCI, HPCI,

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei Just-In-Time PG 1,a) 1, 1 2 1 1 Just-In-Time VM Geyser Dalvik VM CaffeineMark SPECJVM 17% 1. LSI [1][2][3][4][5] (PG) Geyser [6][7] PG ON/OFF OS PG PG [7][8][9][10] Java Just-In-Time (JIT PG [10] JIT 1

More information

FINAL PROGRAM 22th Annual Workshop SWoPP / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing

FINAL PROGRAM 22th Annual Workshop SWoPP / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing FINAL PROGRAM 22th Annual Workshop SWoPP 2009 2009 / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2009 8 4 ( ) 8 6 ( ) 981-0933 1-2-45 http://www.forestsendai.jp

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N GPU 1 1 2 1, 3 2, 3 (Graphics Unit: GPU) GPU GPU GPU Evaluation of GPU Computing Based on An Automatic Program Generation Technology Makoto Sugawara, 1 Katsuto Sato, 1 Kazuhiko Komatsu, 2 Hiroyuki Takizawa

More information

1, 4,a) 1, 4 1, 4 1, , 4 3, 4 HPC HPC HPC Slurm 1. HPC Tianhe MW MW [1] MW CREST a)

1, 4,a) 1, 4 1, 4 1, , 4 3, 4 HPC HPC HPC Slurm 1. HPC Tianhe MW MW [1] MW CREST a) Title 電力制約を考慮した資源管理を行うリソースマネージャの実装と評価 Author(s) 坂本, 龍一 ; タン, カオ ; 和, 遠 ; 近藤, 正章 ; 深沢, 圭田, 将嗣 ; 稲富, 雄一 ; 井上, 弘士 Citation 情報処理学会研究報告 = IPSJ SIG Technical Rep 2015-HPC-151(1): 1-8 Issue Date 2015-09-23 URL

More information

untitled

untitled Power Wall HPL1 10 B/F EXTREMETECH Supercomputing director bets $2,000 that we won t have exascale computing by 2020 One of the biggest problems standing in our way is power. [] http://www.extremetech.com/computing/155941

More information

FINAL PROGRAM 25th Annual Workshop SWoPP / / 2012 Tottori Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2012

FINAL PROGRAM 25th Annual Workshop SWoPP / / 2012 Tottori Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2012 FINAL PROGRAM 25th Annual Workshop SWoPP 2012 2012 / / 2012 Tottori Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2012 8 1 ( ) 8 3 ( ) 680-0017 101-5 http://www.torikenmin.jp/kenbun/

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

IPSJ SIG Technical Report Vol.2013-ARC-206 No /8/1 Android Dominic Hillenbrand ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GP

IPSJ SIG Technical Report Vol.2013-ARC-206 No /8/1 Android Dominic Hillenbrand ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GP Android 1 1 1 1 1 Dominic Hillenbrand 1 1 1 ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GPIO API GPIO API GPIO MPEG2 Optical Flow MPEG2 1PE 0.97[W] 0.63[W] 2PE 1.88[w] 0.46[W] 3PE 2.79[W] 0.37[W] Optical

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

先進的計算基盤システムシンポジウム SACSIS2012 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/18 CPU, CPU., Memory-bound CPU,., Memory-bo

先進的計算基盤システムシンポジウム SACSIS2012 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/18 CPU, CPU., Memory-bound CPU,., Memory-bo CPU, CPU, Memory-bound CPU,, Memory-bound ( ) Performance Monitoring Counter(PMC), PMC (nmi watchdog), PMC CPU., PMC, CPU, Memory-bound, CPU-bound,, CPU,, PMC,,,, CPU, NPB 8, 5% CPU, CPU, 3%, 5% CPU, IS

More information

Run-Based Trieから構成される 決定木の枝刈り法

Run-Based Trieから構成される  決定木の枝刈り法 Run-Based Trie 2 2 25 6 Run-Based Trie Simple Search Run-Based Trie Network A Network B Packet Router Packet Filtering Policy Rule Network A, K Network B Network C, D Action Permit Deny Permit Network

More information

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Hans J. MATTAUSCH, and Tetsushi KOIDE 1 1 2 0.5 µm CMOS

More information

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 657 8531 1 1 E-mail: {soda,matsubara}@ws.cs.kobe-u.ac.jp, {masa-n,shinsuke,shin,yosimoto}@cs.kobe-u.ac.jp,

More information

SWoPP BOF BOF-1 8/3 19:10 BoF SWoPP : BOF-2 8/5 17:00 19:00 HW/SW 15 x5 SimMips/MieruPC M-Core/SimMc FPGA S

SWoPP BOF BOF-1 8/3 19:10 BoF SWoPP :   BOF-2 8/5 17:00 19:00 HW/SW 15 x5 SimMips/MieruPC M-Core/SimMc FPGA S FINAL PROGRAM 23rd Annual Workshop SWoPP 2010 2010 / / 2010 Kanazawa Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2010 8 3 ( ) 8 5 ( ) 920-0864 15 1 http://www.bunka-h.gr.jp/

More information

情報処理学会研究報告 IPSJ SIG Technical Report Vol.2013-HPC-139 No /5/29 Gfarm/Pwrake NICT NICT 10TB 100TB CPU I/O HPC I/O NICT Gf

情報処理学会研究報告 IPSJ SIG Technical Report Vol.2013-HPC-139 No /5/29 Gfarm/Pwrake NICT NICT 10TB 100TB CPU I/O HPC I/O NICT Gf Gfarm/Pwrake NICT 1 1 1 1 2 2 3 4 5 5 5 6 NICT 10TB 100TB CPU I/O HPC I/O NICT Gfarm Gfarm Pwrake A Parallel Processing Technique on the NICT Science Cloud via Gfarm/Pwrake KEN T. MURATA 1 HIDENOBU WATANABE

More information

untitled

untitled 2 75 IT 12 2013 1 2012 500 2015 3,000 4 12 (a) (b) 2014 2012 4 8 10 Journal of Information ProcessingJIP2015 IEEE ACM - 73 - IT 5 6 IT IT IT IPAJISAJUAS JEITAIT 12 12-74 - TV 2013 6 5 6 1 4 1 1 2 38 2

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15. 1. 2. 3. 16 17 18 ( ) ( 19 ( ) CG PC 20 ) I want some rice. I want some lice. 21 22 23 24 2001 9 18 3 2000 4 21 3,. 13,. Science/Technology, Design, Experiments,

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Parallel Computer Ships1 Makoto OYA*, Hiroto MATSUBARA**, Kazuyoshi SAKURAI** and Yu KATO**

More information

PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 P

PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 P PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 PC PC PC PC PC Key Words:Grid, PC Cluster, Distributed

More information

2) 3) 3 4)5) 6)7) SiP LSI CPU SoC LSI LSI LSI. 2 3 NoC Network-on-Chip NoC ) 3) 8) 4) SoC CMOS 8GHz BER Bit Error Rate 7) 0.14pJ

2) 3) 3 4)5) 6)7) SiP LSI CPU SoC LSI LSI LSI. 2 3 NoC Network-on-Chip NoC ) 3) 8) 4) SoC CMOS 8GHz BER Bit Error Rate 7) 0.14pJ NoC NoC 200MHz 4GHz 33.8mW NoC Packet Transfer Networks for 3-D Stacked Chips with Inductive Coupling Daisuke Sasaki, Hiroki Matsutani, Yasuhiro Take, Yuki Ono, Yukinori Nishiyama, Tadahiro Kuroda and

More information

HBase Phoenix API Mars GPU MapReduce GPU Hadoop Hadoop Hadoop MapReduce : (1) MapReduce (2)JobTracker 1 Hadoop CPU GPU Fig. 1 The overview of CPU-GPU

HBase Phoenix API Mars GPU MapReduce GPU Hadoop Hadoop Hadoop MapReduce : (1) MapReduce (2)JobTracker 1 Hadoop CPU GPU Fig. 1 The overview of CPU-GPU GPU MapReduce 1 1 1, 2, 3 MapReduce GPGPU GPU GPU MapReduce CPU GPU GPU CPU GPU CPU GPU Map K-Means CPU 2GPU CPU 1.02-1.93 Improving MapReduce Task Scheduling for CPU-GPU Heterogeneous Environments Koichi

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

untitled

untitled c NUMA 1. 18 (Moore s law) 1Hz CPU 2. 1 (Register) (RAM) Level 1 (L1) L2 L3 L4 TLB (translation look-aside buffer) (OS) TLB TLB 3. NUMA NUMA (Non-uniform memory access) 819 0395 744 1 2014 10 Copyright

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya,

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 565-0871 1 5 E-mail: {s-kasihr, wakamiya, murata}@ist.osaka-u.ac.jp PC 70% Design, implementation, and evaluation

More information

A B C 21 ( ) 8:30 09:00 10:30 (3) EVA-1 HPC-1 10:40 12:10 (3) PRO-1 (2) EVA-2 HPC-2 13:40 15:10 (3) PRO-2 (2) OS-1 HPC-3 15:20 16:50 (3) PRO-3 17:35 O

A B C 21 ( ) 8:30 09:00 10:30 (3) EVA-1 HPC-1 10:40 12:10 (3) PRO-1 (2) EVA-2 HPC-2 13:40 15:10 (3) PRO-2 (2) OS-1 HPC-3 15:20 16:50 (3) PRO-3 17:35 O FINAL PROGRAM 15th Annual Workshop SWoPP 2002 2002 / / 2002 Yufuin Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2002 8 21 ( ) 23 ( ) 879-5191 http://www.kinrou.or.jp/yuhuin-heights/

More information

36 581/2 2012

36 581/2 2012 4 Development of Optical Ground Station System 4-1 Overview of Optical Ground Station with 1.5 m Diameter KUNIMORI Hiroo, TOYOSHMA Morio, and TAKAYAMA Yoshihisa The OICETS experiment, LEO Satellite-Ground

More information

DEIM Forum 2009 B4-6, Str

DEIM Forum 2009 B4-6, Str DEIM Forum 2009 B4-6, 305 8573 1 1 1 152 8550 2 12 1 E-mail: tttakuro@kde.cs.tsukuba.ac.jp, watanabe@de.cs.titech.ac.jp, kitagawa@cs.tsukuba.ac.jp StreamSpinner PC PC StreamSpinner Development of Data

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

Web Social Networking Service Virtual Private Network 84

Web Social Networking Service Virtual Private Network 84 Promising business utilized five senses information media through the Next Generation Network Toshio ASANO Next Generation Network 2004 11 2010 6,000 3,000 2006 12 2008 83 Web Social Networking Service

More information

Kochi University of Technology Aca Title 省 電 力 セルフタイム 回 路 に 関 する 研 究 Author(s) 岩 田, 誠, 宮 城, 桂, 三 宮, 秀 次, 西 川, 博 昭 Citation 高 知 工 科 大 学 紀 要, 10(1): 95-102 Date of 2013-07-20 issue URL http://hdl.handle.net/10173/1082

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

パナソニック技報

パナソニック技報 136 Panasonic Technical Journal Vol. 61 No. 2 Nov. 2015 Radio Propagation and Electromagnetic Field Simulation Techniques for Large-Scale Model using Supercomputer Hiroyuki Uno Tatsunori Yui Hiroyuki Fukuda

More information

8 P2P P2P (Peer-to-Peer) P2P P2P As Internet access line bandwidth has increased, peer-to-peer applications have been increasing and have great impact

8 P2P P2P (Peer-to-Peer) P2P P2P As Internet access line bandwidth has increased, peer-to-peer applications have been increasing and have great impact 8 P2P (Peer-to-Peer) P2P P2P As Internet access line bandwidth has increased, peer-to-peer applications have been increasing and have great impact on networks. In this paper, we review traffic issues for

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

Cloud[2] (48 ) Xeon Phi (50+ ) IBM Cyclops[9] (64 ) Cavium Octeon II (32 ) Tilera Tile-GX (100 ) PE [11][7] 2 Nsim[10] 8080[1] SH-2[5] SH [8

Cloud[2] (48 ) Xeon Phi (50+ ) IBM Cyclops[9] (64 ) Cavium Octeon II (32 ) Tilera Tile-GX (100 ) PE [11][7] 2 Nsim[10] 8080[1] SH-2[5] SH [8 1600 1,a) 1,b) 8080 SH-2 8080 SH-2 Simulation of a Many-Core Architecture with 16 Million Processing Cores Hisanobu Tomari 1,a) Kei Hiraki 1,b) Abstract: 8080 and SH-2 processors are evaluated as building

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

fiš„v8.dvi

fiš„v8.dvi (2001) 49 2 333 343 Java Jasp 1 2 3 4 2001 4 13 2001 9 17 Java Jasp (JAva based Statistical Processor) Jasp Jasp. Java. 1. Jasp CPU 1 106 8569 4 6 7; fuji@ism.ac.jp 2 106 8569 4 6 7; nakanoj@ism.ac.jp

More information

2007/8 Vol. J90 D No. 8 Stauffer [7] 2 2 I 1 I 2 2 (I 1(x),I 2(x)) 2 [13] I 2 = CI 1 (C >0) (I 1,I 2) (I 1,I 2) Field Monitoring Server

2007/8 Vol. J90 D No. 8 Stauffer [7] 2 2 I 1 I 2 2 (I 1(x),I 2(x)) 2 [13] I 2 = CI 1 (C >0) (I 1,I 2) (I 1,I 2) Field Monitoring Server a) Change Detection Using Joint Intensity Histogram Yasuyo KITA a) 2 (0 255) (I 1 (x),i 2 (x)) I 2 = CI 1 (C>0) (I 1,I 2 ) (I 1,I 2 ) 2 1. [1] 2 [2] [3] [5] [6] [8] Intelligent Systems Research Institute,

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

Configuration Constant Fetch Gather Data Manupulator Data Memory 700 Pipeline Pipeline Pipeline Array

Configuration Constant Fetch Gather Data Manupulator Data Memory 700 Pipeline Pipeline Pipeline Array THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE [] CCSOTB2 Ng Doan Anh Vu 223 8522 3 14 1 E-mail: {tkojima,ando,matsushita,hayate,doan,hunga}@amicskeioacjp

More information

2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205

2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205 252 5210 3 1 1 e-mail: morita.yasuhiro@jaxa.jp 9 1. 1 F1 1 204 2015 4 2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205 IT IT 3 2 JAXA 2 3 1 2 2 2 3 2 206 2015 4 C/C 2 3. 3.1 1 SRB-A H2A

More information

1 2 4 5 9 10 12 3 6 11 13 14 0 8 7 15 Iteration 0 Iteration 1 1 Iteration 2 Iteration 3 N N N! N 1 MOPT(Merge Optimization) 3) MOPT 8192 2 16384 5 MOP

1 2 4 5 9 10 12 3 6 11 13 14 0 8 7 15 Iteration 0 Iteration 1 1 Iteration 2 Iteration 3 N N N! N 1 MOPT(Merge Optimization) 3) MOPT 8192 2 16384 5 MOP 10000 SFMOPT / / MOPT(Merge OPTimization) MOPT FMOPT(Fast MOPT) FMOPT SFMOPT(Subgrouping FMOPT) SFMOPT 2 8192 31 The Proposal and Evaluation of SFMOPT, a Task Mapping Method for 10000 Tasks Haruka Asano

More information

56 OS OS OS OS 1 OS HDD OS 1 OS HDD HDD OS OS OSOS HDD 図 1 二重キャッシュ環境 3. 負の参照の時間的局所性 3.1 参照の局所性 Locality of Reference Temporal locality Spatial localit

56 OS OS OS OS 1 OS HDD OS 1 OS HDD HDD OS OS OSOS HDD 図 1 二重キャッシュ環境 3. 負の参照の時間的局所性 3.1 参照の局所性 Locality of Reference Temporal locality Spatial localit 116 26 4 1 2 2 1 3 An Analysis of Locality of Reference in Virtualized Environment Hiroki SUGIMOTO 1, Kousuke TAKEUCHI 2, Kouya HINAGAWA 2 and Saneyasu YAMAGUCHI 1 3 Abstract As cloud computing has spread

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

SH Mobile Consortium Day

SH Mobile Consortium Day 2006 7 20 BTO 2 Java TM 3 DoCoMo, KDDI (Software) Sprint, Nextel (PCB Architecture) Vodafone, Hutchinson (System Design) Orange, T-Mobile (Software Design) Telefonica (ID Design) Verizon, Cingular, China

More information

DEIM Forum 2017 H ,

DEIM Forum 2017 H , DEIM Forum 217 H5-4 113 8656 7 3 1 153 855 4 6 1 3 2 1 2 E-mail: {satoyuki,haya,kgoda,kitsure}@tkl.iis.u-tokyo.ac.jp,.,,.,,.,, 1.. 1956., IBM IBM RAMAC 35 IBM 35 24 5, 5MB. 1961 IBM 131,,, IBM 35 13.,

More information

27 YouTube YouTube UGC User Generated Content CDN Content Delivery Networks LRU Least Recently Used UGC YouTube CGM Consumer Generated Media CGM CGM U

27 YouTube YouTube UGC User Generated Content CDN Content Delivery Networks LRU Least Recently Used UGC YouTube CGM Consumer Generated Media CGM CGM U YouTube 2016 2 16 27 YouTube YouTube UGC User Generated Content CDN Content Delivery Networks LRU Least Recently Used UGC YouTube CGM Consumer Generated Media CGM CGM UGC UGC YouTube k-means YouTube YouTube

More information

1 1 CodeDrummer CodeMusician CodeDrummer Fig. 1 Overview of proposal system c

1 1 CodeDrummer CodeMusician CodeDrummer Fig. 1 Overview of proposal system c CodeDrummer: 1 2 3 1 CodeDrummer: Sonification Methods of Function Calls in Program Execution Kazuya Sato, 1 Shigeyuki Hirai, 2 Kazutaka Maruyama 3 and Minoru Terada 1 We propose a program sonification

More information

9_18.dvi

9_18.dvi Vol. 49 No. 9 3180 3190 (Sep. 2008) 1, 2 3 1 1 1, 2 4 5 6 1 MRC 1 23 MRC Development and Applications of Multiple Risk Communicator Ryoichi Sasaki, 1, 2 Yuu Hidaka, 3 Takashi Moriya, 1 Katsuhiro Taniyama,

More information

B HNS 7)8) HNS ( ( ) 7)8) (SOA) HNS HNS 4) HNS ( ) ( ) 1 TV power, channel, volume power true( ON) false( OFF) boolean channel volume int

B HNS 7)8) HNS ( ( ) 7)8) (SOA) HNS HNS 4) HNS ( ) ( ) 1 TV power, channel, volume power true( ON) false( OFF) boolean channel volume int SOA 1 1 1 1 (HNS) HNS SOA SOA 3 3 A Service-Oriented Platform for Feature Interaction Detection and Resolution in Home Network System Yuhei Yoshimura, 1 Takuya Inada Hiroshi Igaki 1, 1 and Masahide Nakamura

More information

A (4.5mW) self (0.5mW) B(3mW) C(1mw) B1(1mW) B2(2mW) C1(1mw) PowerScope 4) SystemMoniter EnergyMonitor EnergyAnalyzer 46 Android 2.2

A (4.5mW) self (0.5mW) B(3mW) C(1mw) B1(1mW) B2(2mW) C1(1mw) PowerScope 4) SystemMoniter EnergyMonitor EnergyAnalyzer 46 Android 2.2 Android 1 2 2 4 3 3 AndroidOS 2 An Enegy Profiling for Android Application Syuhei Hiya, 1 Kenji Hisazumi, 1 Toru Ishihara, 1 Takeshi Kamiyama, 4 Tsuneo Nakanishi 1 and Fukuda Akirra 1 This paper proposes

More information

独立行政法人情報通信研究機構 Development of the Information Analysis System WISDOM KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the infor

独立行政法人情報通信研究機構 Development of the Information Analysis System WISDOM KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the infor 独立行政法人情報通信研究機構 KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the information analysis system WISDOM as a research result of the second medium-term plan. WISDOM has functions that

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Speech Visualization System Based on Augmented Reality Yuichiro Nagano 1 and Takashi Yoshino 2 As the spread of the Augmented Reality(AR) technology and service,

More information

DEIM Forum 2017 E Netflix (Video on Demand) IP 4K [1] Video on D

DEIM Forum 2017 E Netflix (Video on Demand) IP 4K [1] Video on D DEIM Forum 2017 E1-1 700-8530 3-1-1 E-mail: inoue-y@mis.cs.okayama-u.ac.jp, gotoh@cs.okayama-u.ac.jp 1. Netflix (Video on Demand) IP 4K [1] Video on Demand ( VoD) () 2. 2. 1 VoD VoD 2. 2 AbemaTV VoD VoD

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

_314I01BM浅谷2.indd

_314I01BM浅谷2.indd 587 ネットワークの表現学習 1 1 1 1 Deep Learning [1] Google [2] Deep Learning [3] [4] 2014 Deepwalk [5] 1 2 [6] [7] [8] 1 2 1 word2vec[9] word2vec 1 http://www.ai-gakkai.or.jp/my-bookmark_vol31-no4 588 31 4 2016

More information

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of IEEE802.11 [1]Bluetooth [2] 1 1 (1) [6] Ack (Ack) BEC FEC (BEC) BEC FEC 100 20 BEC FEC 6.19% 14.1% High Throughput and Highly Reliable Transmission in MANET Masaaki Kosugi 1 and Hiroaki Higaki 1 1. LAN

More information

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat AUTOSAR 1 1, 2 2 2 AUTOSAR AUTOSAR 3 2 2 41% 29% An Extension of AUTOSAR Communication Layers for Multicore Systems Toshiyuki Ichiba, 1 Hiroaki Takada, 1, 2 Shinya Honda 2 and Ryo Kurachi 2 AUTOSAR, a

More information

- (20 ) 400 () 3DCG No.51 No.61 No.62 No.11 P 2 16

- (20 ) 400 () 3DCG No.51 No.61 No.62 No.11 P 2 16 - (20 ) 226-8503 1974 6 10 29 4259 Tel:045-924-5050 Fax:045-924-5016 E-mail:hase@hi.pi.titech.ac.jp Tel: Fax: E-mail: Tel:03-3786-5650 Tel:090-6036-8520 P 1 16 - (20 ) 400 () 3DCG No.51 No.61 No.62 No.11

More information

B

B B 27 1153021 28 2 10 1 1 5 1.1 CPU................. 5 1.2.... 5 1.3.... 6 1.4.. 7 1.5................................ 8 2 9 2.1.................................. 9 2.2............................ 10 2.3............................

More information

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF a m

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF   a m Vol.55 No.1 2 15 (Jan. 2014) 1,a) 2,3,b) 4,3,c) 3,d) 2013 3 18, 2013 10 9 saccess 1 1 saccess saccess Design and Implementation of an Online Tool for Database Education Hiroyuki Nagataki 1,a) Yoshiaki

More information

HASC2012corpus HASC Challenge 2010,2011 HASC2011corpus( 116, 4898), HASC2012corpus( 136, 7668) HASC2012corpus HASC2012corpus

HASC2012corpus HASC Challenge 2010,2011 HASC2011corpus( 116, 4898), HASC2012corpus( 136, 7668) HASC2012corpus HASC2012corpus HASC2012corpus 1 1 1 1 1 1 2 2 3 4 5 6 7 HASC Challenge 2010,2011 HASC2011corpus( 116, 4898), HASC2012corpus( 136, 7668) HASC2012corpus HASC2012corpus: Human Activity Corpus and Its Application Nobuo KAWAGUCHI,

More information

sumi.indd

sumi.indd S/N S/N CCDCMOS CCD CMOS & E-mail hirofumi.sumi@jp.sony.com & E-mail Tadakuni.Narabu@jp.sony.com & E-mail Shinichiro.Saito@jp.sony.com Hirofumi SUMI, Non - Member and Tadakuni NARABU, Member and Shinichiro

More information

IBISML 20 (IBIS2017) 6 IBISML 4 CANDAR2017 (Graph Golf 2017) CW WSSM AI Author s Toolkit Writing Better Techn

IBISML 20 (IBIS2017) 6 IBISML 4 CANDAR2017 (Graph Golf 2017) CW WSSM AI Author s Toolkit Writing Better Techn ISSN Print ISSN 2189-9797 ISSN ISSN 2189-9819 https://www.jstage.jst.go.jp/browse/ieiceissjournal/-char/ja/ c 2018 30 2 1 22 4 89 22 4 89 3 IBISML 20 (IBIS2017) 6 IBISML 4 CANDAR2017 (Graph Golf 2017)

More information

Honda 3) Fujii 4) 5) Agrawala 6) Osaragi 7) Grabler 8) Web Web c 2010 Information Processing Society of Japan

Honda 3) Fujii 4) 5) Agrawala 6) Osaragi 7) Grabler 8) Web Web c 2010 Information Processing Society of Japan 1 1 1 1 2 Geographical Feature Extraction for Retrieval of Modified Maps Junki Matsuo, 1 Daisuke Kitayama, 1 Ryong Lee 1 and Kazutoshi Sumiya 1 Digital maps available on the Web are widely used for obtaining

More information

IPSJ SIG Technical Report Vol.2010-GN-74 No /1/ , 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KU

IPSJ SIG Technical Report Vol.2010-GN-74 No /1/ , 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KU 1 2 2 1, 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KUNIAKI SUSEKI, 2 KENTARO NAGAHASHI 2 and KEN-ICHI OKADA 1, 3 When there are a lot of injured people at a large-scale

More information

PowerPoint Presentation

PowerPoint Presentation Its Concept and Architecture Hiroshi Nakashima (Kyoto U.) with cooperation of Mitsuhisa Sato (U. Tsukuba) Taisuke Boku (U. Tsukuba) Yutaka Ishikawa (U. Tokyo) Contents Alliance Who & Why Allied? Specification

More information

List080524

List080524 08.05.24 1 6 19 A 1F 9:00 11:00 Session 1 OS (20 6 ) 1. CREST / JST CREST 2. HIBMC 3. 4. GRID 5. 6. ( ) S. Siltanen Tampera University of Technology G. Uhlmann University of Washington 15:20 18:10 3 1

More information

Vol.57 No (Mar. 2016) 1,a) , L3 CG VDI VDI A Migration to a Cloud-based Information Infrastructure to Support

Vol.57 No (Mar. 2016) 1,a) , L3 CG VDI VDI A Migration to a Cloud-based Information Infrastructure to Support 1,a) 1 1 2015 6 22, 2015 12 7 L3 CG 50 600 VDI VDI A Migration to a Cloud-based Information Infrastructure to Support University Education and It s Analysis Kaori Maeda 1,a) Nobuo Suematsu 1 Toshiaki Kitamura

More information

修士論文

修士論文 27 Mobile Ad Hoc Networks An Ant-based Routing Algorithm with Multi-phase Pheromone and Power-saving in Mobile Ad Hoc Networks 14T0013 Shohei Miyashita E-mail: shohei.miyashita.4j@stu.hosei.ac.jp : Abstract

More information

IPSJ SIG Technical Report iphone iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Proc

IPSJ SIG Technical Report iphone iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Proc iphone 1 1 1 iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Processing Unit)., AR Realtime Natural Feature Tracking Library for iphone Makoto

More information

untitled

untitled 2004.11.18 1 3C everywhere Aoyama Morikawa Laboratory 2 3C Everywhere Computing everywhere PDA, Contents everywhere XMLmp3 1 terabyte 1 zettabyte (10 21 ) Connectivity everywhere 2G/3G/4GLAN DSL, DSRC,

More information

WLAN WLAN AP WLAN WLAN WLAN AP- WLAN SINR WLAN WLAN CE WLAN WLAN WLAN CE 2 3 WLAN 4 WLAN 2. WLAN [10] AP CE [11] AP CE CE [12] CE AP AP AP WLAN WLAN A

WLAN WLAN AP WLAN WLAN WLAN AP- WLAN SINR WLAN WLAN CE WLAN WLAN WLAN CE 2 3 WLAN 4 WLAN 2. WLAN [10] AP CE [11] AP CE CE [12] CE AP AP AP WLAN WLAN A DEIM Forum2015 C2-4 LAN 112 8610 2-1-1 NEC 211 8666 1753 E-mail: mitomo@ogl.is.ocha.ac.jp, {miyoshi,t-murase}@ap.jp.nec.com, oguchi@computer.org LAN AP AP LAN LAN LAN WLAN AP- WLAN 3 LAN LANQoS Quality

More information

1 3DCG [2] 3DCG CG 3DCG [3] 3DCG 3 3 API 2 3DCG 3 (1) Saito [4] (a) 1920x1080 (b) 1280x720 (c) 640x360 (d) 320x G-Buffer Decaudin[5] G-Buffer D

1 3DCG [2] 3DCG CG 3DCG [3] 3DCG 3 3 API 2 3DCG 3 (1) Saito [4] (a) 1920x1080 (b) 1280x720 (c) 640x360 (d) 320x G-Buffer Decaudin[5] G-Buffer D 3DCG 1) ( ) 2) 2) 1) 2) Real-Time Line Drawing Using Image Processing and Deforming Process Together in 3DCG Takeshi Okuya 1) Katsuaki Tanaka 2) Shigekazu Sakai 2) 1) Department of Intermedia Art and Science,

More information

IHI Robust Path Planning against Position Error for UGVs in Rough Terrain Yuki DOI, Yonghoon JI, Yusuke TAMURA(University of Tokyo), Yuki IKEDA, Atsus

IHI Robust Path Planning against Position Error for UGVs in Rough Terrain Yuki DOI, Yonghoon JI, Yusuke TAMURA(University of Tokyo), Yuki IKEDA, Atsus IHI Robust Path Planning against Position Error for UGVs in Rough Terrain Yuki DOI, Yonghoon JI, Yusuke TAMURA(University of Tokyo), Yuki IKEDA, Atsushi UMEMURA, Yoshiharu KANESHIMA, Hiroki MURAKAMI(IHI

More information

求人面接資料PPT

求人面接資料PPT Hair Salon TV etc. 250" 250" 200" 200" 150" 150" 100" 100" 50" 50" 0" 0" Nov)13" Dec)13" Jan)14" Feb)14" Mar)14" Apr)14" May)14" Jun)14" Jul)14" Dec)12" Jan)13" Feb)13" Mar)13" Apr)13"

More information

Vol. 48 No. SIG 1(CVIM 17) Feb Visconti Visconti ITS Image Recognition LSI Visconti and Its Applications to Safety and Security Hiroaki Nakai, J

Vol. 48 No. SIG 1(CVIM 17) Feb Visconti Visconti ITS Image Recognition LSI Visconti and Its Applications to Safety and Security Hiroaki Nakai, J Vol. 48 No. SIG 1(CVIM 17) Feb. 2007 Visconti Visconti ITS Image Recognition LSI Visconti and Its Applications to Safety and Security Hiroaki Nakai, Jun Tanabe, Kenji Furukawa, Tatsuo Kozakaya, Takashi

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

1_26.dvi

1_26.dvi C3PV 1,a) 2,b) 2,c) 3,d) 1,e) 2012 4 20, 2012 10 10 C3PV C3PV C3PV 1 Java C3PV 45 38 84% Programming Process Visualization for Supporting Students in Programming Exercise Hiroshi Igaki 1,a) Shun Saito

More information

,., ping - RTT,., [2],RTT TCP [3] [4] Android.Android,.,,. LAN ACK. [5].. 3., 1.,. 3 AI.,,Amazon, (NN),, 1..NN,, (RNN) RNN

,., ping - RTT,., [2],RTT TCP [3] [4] Android.Android,.,,. LAN ACK. [5].. 3., 1.,. 3 AI.,,Amazon, (NN),, 1..NN,, (RNN) RNN DEIM Forum 2018 F1-1 LAN LSTM 112 8610 2-1-1 163-8677 1-24-2 E-mail: aoi@ogl.is.ocha.ac.jp, oguchi@is.ocha.ac.jp, sane@cc.kogakuin.ac.jp,,.,,., LAN,. Android LAN,. LSTM LAN., LSTM, Analysis of Packet of

More information

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi ODA Department of Human and Mechanical Systems Engineering,

More information

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F Vol. 42 No. 4 Apr. 2001 VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating Frequencies Maki Horita, Tsutomu Yoshinaga, Kanemitsu

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

HTM RaR HTM 2. 2) 3) HTM 2 3 Yoo 4) HTM Adaptive Transaction Scheduling Akpinar 5) HTM Gaona 6) HTM 3. Read-after-Read HTM 3.1 Read-after-Read Read Wr

HTM RaR HTM 2. 2) 3) HTM 2 3 Yoo 4) HTM Adaptive Transaction Scheduling Akpinar 5) HTM Gaona 6) HTM 3. Read-after-Read HTM 3.1 Read-after-Read Read Wr 1 1, 1 1 1 1 Readafter-Read Read-after-Read 66.9% A Speed-Up Technique for Hardware Transactional Memories by Reducing Concurrency Considering Conflicting Addresses Koshiro Hashimoto, 1 Masamichi Eto,

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

1 IDC Wo rldwide Business Analytics Technology and Services 2013-2017 Forecast 2 24 http://www.soumu.go.jp/johotsusintokei/whitepaper/ja/h24/pdf/n2010000.pdf 3 Manyika, J., Chui, M., Brown, B., Bughin,

More information

IPSJ SIG Technical Report Vol.2012-HCI-149 No /7/20 1 1,2 1 (HMD: Head Mounted Display) HMD HMD,,,, An Information Presentation Method for Weara

IPSJ SIG Technical Report Vol.2012-HCI-149 No /7/20 1 1,2 1 (HMD: Head Mounted Display) HMD HMD,,,, An Information Presentation Method for Weara 1 1,2 1 (: Head Mounted Display),,,, An Information Presentation Method for Wearable Displays Considering Surrounding Conditions in Wearable Computing Environments Masayuki Nakao 1 Tsutomu Terada 1,2 Masahiko

More information

IPSJ SIG Technical Report Vol.2012-ARC-202 No.13 Vol.2012-HPC-137 No /12/13 Tightly Coupled Accelerators 1,a) 1,b) 1,c) 1,d) GPU HA-PACS

IPSJ SIG Technical Report Vol.2012-ARC-202 No.13 Vol.2012-HPC-137 No /12/13 Tightly Coupled Accelerators 1,a) 1,b) 1,c) 1,d) GPU HA-PACS Tightly Coupled Accelerators 1,a) 1,b) 1,c) 1,d) HA-PACS 2012 2 HA-PACS TCA (Tightly Coupled Accelerators) TCA PEACH2 1. (Graphics Processing Unit) HPC GP(General Purpose ) TOP500 [1] CPU PCI Express (PCIe)

More information

Vol. 27 No. 4 Nov RTOS RTOS RTOS Windows RTOS Windows RTOS RTOS Windows COM RTOS RTOS RTOS RTOS RTOS ISS(Instruction Set Simuator ) Windows (

Vol. 27 No. 4 Nov RTOS RTOS RTOS Windows RTOS Windows RTOS RTOS Windows COM RTOS RTOS RTOS RTOS RTOS ISS(Instruction Set Simuator ) Windows ( 24 OS ISS (Instruction Set Simuator: ) ISS ISS (1) (2) (3) (4) OS ISS This paper presents an open source simulator corresponding multiprocessors for embedded systems. The purpose of the simulator is to

More information

20mm 63.92% ConstantZoom U 5

20mm 63.92% ConstantZoom U 5 29 30 2 13 16350926 20mm 63.92% ConstantZoom U 5 1 3 1.1...................................... 3 1.2................................. 4 2 8 2.1............... 8 2.2............................ 8 2.3..

More information

untitled

untitled IT E- IT http://www.ipa.go.jp/security/ CERT/CC http://www.cert.org/stats/#alerts IPA IPA 2004 52,151 IT 2003 12 Yahoo 451 40 2002 4 18 IT 1/14 2.1 DoS(Denial of Access) IDS(Intrusion Detection System)

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.23 Vol.2009-GN-73 No.23 Vol.2009-EIP-46 No /11/27 t-room t-room 2 Development of

IPSJ SIG Technical Report Vol.2009-DPS-141 No.23 Vol.2009-GN-73 No.23 Vol.2009-EIP-46 No /11/27 t-room t-room 2 Development of t-room 1 2 2 2 2 1 1 2 t-room 2 Development of Assistant System for Ensemble in t-room Yosuke Irie, 1 Shigemi Aoyagi, 2 Toshihiro Takada, 2 Keiji Hirata, 2 Katsuhiko Kaji, 2 Shigeru Katagiri 1 and Miho

More information