lesson7.ppt

Size: px
Start display at page:

Download "lesson7.ppt"

Transcription

1 Lecture 7 Electrodynamical Carrier Doping: History p.7 Electrdodynamical Carrier Doping? pulse p.8

2 IV Hysteresis & NV Memory Crossing I-V curve I Low R Nonvolatile Switching +V pulse 0 -V pulse time -V pulse V V read +V pulse High R High R Low R 0 time p.9 Breaking News in Dec p.10

3 Breaking News in Dec IEDM速報 ものすごい不揮発性メモリー 登場 とんでもない可能性を秘めたメモリーだ 国内のフラッシュ メモリー研究者にこう言わしめ た不揮発性メモリーが IEDM 2002 に登場した 米Sharp Laboratories of Americaとシャー プ 米University of Houstonが共同発表した RRAM(Resistance RAM) である 論文番号 7.5 原理的には 次世代の不揮発性メモリーとされるMRAM Magnetic RAM に似ているが その潜在力はMRAMをはるかに上回る その上 4F^2または6F^2と小さなセル寸法で構成でき る 読み出し時間 書き込み時間 消去時間は それぞれ20ns 10ns 30nsにでき いずれも高速 DRAM並みかそれ以上である これだけならMRAMと同等レベルだが MRAMにはない利点も持 つ その一つは 多値化が可能なこと これはMRAMの"1"と"0"の読み出し余裕度 マージン を左右 するMR比がせいぜい40 前後 1.4倍前後 であるのに対し RRAMでは1000倍以上とケタ違いに 大きいためである 多値化によって ビット コストを劇的に下げられる可能性が出てくる 二つ目は 微細化に対応しやすいこと これは書き込みにパルス状の電圧を用いるためである MRAMは電流を使って磁気スピンの向きを制御するが 設計ルールを縮小してもこの電流を小さく できず これが微細化を妨げていた RRAMでは 電流書き込みが原因の微細化の壁に阻まれること がない その代わりに 現在4.5V以上の書き込み電圧が必要となるが これは10V前後の書き込み 電圧が必要なフラッシュ メモリーに比べて低い フラッシュ メモリー向けの昇圧回路や素子分離 技術を活用すれば 原理的には今後の微細化にも対応できると見られる また 書き込み電圧の低電 圧化も見込める さらに電圧で書き込むために 書き込み時の消費電流も小さくできる NE Online, 10 Dec p.11 Breaking News in Dec IEDM速報 RRAM 続報 まずは64ビット品を試作 シャープなどは RRAM(Resistance RAM) を用いて64ビットの不揮発 性RAMを開発した 評価用である 0.5!m CMOS技術を使い セル寸法は 0.8!0.8!m2である 試作したチップを使って 書き込みとリセットを繰り返した 書き込み時に はワード線に7Vをかけた状態で パルス幅100nsで振幅5Vのパルスを印加す る リセット時には 100nsで5Vのパルスをトランジスタのソースにかけた また パルスを繰り返し印加することで 多値化が可能になることも示した この講演後 発表者の周りを 日米欧のメモリー研究者ら10人近くが取り 囲み 30分以上にわたって質問攻めにしていた CMRの原理や特性など基本 的な点に関して半信半疑で尋ねていた なお講演者は製品化時期についての質 問には 明確に答えていない この発表を聞いた国内のメモリー研究者は 新 材料を使うとなれば 実用化には相当時間がかかるはず と述べていた NE Online, 10 Dec p.12

4 New Phenomenon? IEDM速報 RRAM 続報 ミソはCMR素子にあり シャープなどが開発した RRAM(Resistance RAM) は 電圧パル スを加えることで抵抗値が大幅に変わるCMR Colossal magnetoresistive と呼ぶ材料を記憶素子に使う これは 同社と共 同開発している米University of Houstonが2000年3月に論文発表す るなどし 不揮発性メモリーへ応用できる可能性を示唆していた材料 である その際には PLD pulsed laser deposition を用いてCMR を形成していた NE Online, 10 Dec p.13 Kreynina: Al/Al2O3/Ag (1st paper in lits.) Radio Engineering and Electronic Physics 7, 1949 (1962) p.15

5 Hickmott: Al/Al 2 O 3 /Al J. Appl. Phys. 33, 2669 (1962) p.16 Simmons & Verderber: Au/SiO x /Al Proc. Roy. Soc.A301, 77 (1967) p.17

6 Dearnaley et al. : Review Rep. Prog. Phys. 33, 1129 (1970) p.18 Dearnaley et al. : Review p.19

7 Dearnaley et al. : Review p.20 Dearnaley et al. : Review Appl. Phys. Lett. 74, 93 (1999) p.21

8 Pagnia and Sotnik : Review Phys. Stat. Sol. A108, 11 (1988) p.22 Pagnia and Sotnik : Review After this review in 1988, there were only a few papers published on this subject. Is this because of the high-tc? p.23

9 Hickmott: Al/Al 2 O 3 /Au --- New Bout Almost 40 years working on this subject!! J. Appl. Phys. 88, 2805 (2000) Accompanying features - electron emission - electroluminescence Experimental phenomena ---Well established Mechanisms responsible ---No Energy band models Filamentary models difficult to explain the electron emission and electroluminescence p.24 Resistance Switching since 2000 Au/Ti/SrZr Cr O 3 /SrRuO 3 Ag/CeO 2 /La 0.67 Ca 0.33 MnO 3 Ag/Bi 2 Sr 2 CaCu 2 O 8+y Pt/NiO/Pt Al/ Rose Bengal /ITO Al/DDQ/ITO Au/porus-Si/p-type Si AlAs/GaAs/AlAs (i) Ag/PCMO/Pt Set Reset p.25

10 Sunae Seo et al.: Pt/NiO x /Pt Appl. Phys. Lett. 85, 5655 (2004) p.26 Correlated Electron Research Centre (CERC) Basic Research of phase control Development of functional device New Energy and Industrial Technology Development Organization (NEDO)

11 Further Reading H. Biederman Metal-Insulator-Metal Sandwich Structures With Anormalous Properties Vacuum 26 (12) (1976) p.29 Summary of Lecture 7 1. Electrodynamical carrier doping? pulse 2. Crossing IV hysteresis and nonvolatility 3. Many examples since 1960s. Anything new to do? p.30

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする

More information

Microsoft Word - 新規Microsoft Office Word 文書.docx

Microsoft Word - 新規Microsoft Office Word 文書.docx ( ) ENTRAN ENTRAN / DRAM 2 3 () 4 (off chip) MOS Tr 5 6 / SSD / Ⅲ. 研究開発成果 3.3 研究開発項目毎の成果 2 不揮発アーキテクチャの研究開発 不揮発アーキテクチャの研究開発 実施者 : 中央大学, エルピーダメモリ (20 年度のみ ) 目的 研究開発項目 で得られたメモリを用い 現行のアーキテクチャの消費電力に対し実質上 /

More information

<4D F736F F F696E74202D F C51946E91E58A DB8DE290E690B62E707074>

<4D F736F F F696E74202D F C51946E91E58A DB8DE290E690B62E707074> 相変化ランダムアクセスメモリ素子 (PRAM) の結晶化過程を用いた 多値記録素子 研究者 : 群馬大学大学院工学研究科 教授保坂純男 内容 1. 研究背景とアプローチ 2. PRAM の原理と課題 3. 低消費電力化 4. 結晶化過程の多値記録 5. 実験結果とまとめ 背景 メモリの特性 FeRAM MRAM PRAM DRAM フラッシュ 不揮発性 書き込み時間 80ns 30ns 50ns 100ms

More information

New Energy and Industrial Technology Development Organization 2 4 5 6 7 15 17 27 35 41 49 53 55 56 57 57 57 59 63 63 68 68 76 77 78 79 81 81 81 82 82 88 90 91 94 97 98 98 100 103 105 114 114 118

More information

報道機関各位 平成 30 年 5 月 14 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の

報道機関各位 平成 30 年 5 月 14 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の 報道機関各位 平成 30 年 5 月 1 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の向上 (91% から 97%) と 高速動作特性の向上を実証する実験に成功 標記について 別添のとおりプレスリリースいたしますので

More information

詳細な説明 研究の背景 フラッシュメモリの限界を凌駕する 次世代不揮発性メモリ注 1 として 相変化メモリ (PCRAM) 注 2 が注目されています PCRAM の記録層には 相変化材料 と呼ばれる アモルファス相と結晶相の可逆的な変化が可能な材料が用いられます 通常 アモルファス相は高い電気抵抗

詳細な説明 研究の背景 フラッシュメモリの限界を凌駕する 次世代不揮発性メモリ注 1 として 相変化メモリ (PCRAM) 注 2 が注目されています PCRAM の記録層には 相変化材料 と呼ばれる アモルファス相と結晶相の可逆的な変化が可能な材料が用いられます 通常 アモルファス相は高い電気抵抗 平成 30 年 1 月 12 日 報道機関各位 東北大学大学院工学研究科 次世代相変化メモリーの新材料を開発 超低消費電力でのデータ書き込みが可能に 発表のポイント 従来材料とは逆の電気特性を持つ次世代不揮発性メモリ用の新材料開発に成功 今回開発した新材料を用いることで データ書換え時の消費電力を大幅に低減できることを確認 概要 東北大学大学院工学研究科知能デバイス材料学専攻の畑山祥吾博士後期課程学生

More information

スライド 1

スライド 1 Matsuura Laboratory SiC SiC 13 2004 10 21 22 H-SiC ( C-SiC HOY Matsuura Laboratory n E C E D ( E F E T Matsuura Laboratory Matsuura Laboratory DLTS Osaka Electro-Communication University Unoped n 3C-SiC

More information

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード]

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード] 半導体メモリが新応用を開拓した例 集積デバイス工学半導体メモリ 2010 年 5 月 14 日東京大学大学院工学系研究科電気系工学竹内健 E-mail : takeuchi@lsi.t.u-tokyo.ac.jp http://www.lsi.t.u-tokyo.ac.jp p y jp アップル社の ipod nano 2005 年 9 月発売 フラッシュメモリの記憶容量によって価格の異なるラインアップ

More information

The Plasma Boundary of Magnetic Fusion Devices

The Plasma Boundary of Magnetic Fusion Devices ASAKURA Nobuyuki, Japan Atomic Energy Research Institute, Naka, Ibaraki 311-0193, Japan e-mail: asakuran@fusion.naka.jaeri.go.jp The Plasma Boundary of Magnetic Fusion Devices Naka Fusion Research Establishment,

More information

技術創造の社会的条件

技術創造の社会的条件 1999 10 21 21 i ... 1 1... 3 1-1. 20...3 1900 1945 3 1945 198x 4 198x 1999 5 1-2....7 1945 198x 7 HEMT 8 198x 1999 9 9 1-3....11 11 12 13 18 2 New Institutions... 21 2-1....21 22 24 26 2-2....27 28 29

More information

プラズマ核融合学会誌11月【81‐11】/小特集5

プラズマ核融合学会誌11月【81‐11】/小特集5 Japan Atomic Energy Agency, Ibaraki 311-0193, Japan 1) Kyoto University, Uji 611-0011, Japan 2) National Institute of Advanced Industrial Science and Technology, Tsukuba 305-8569, Japan 3) Central Research

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

840 Geographical Review of Japan 73A-12 835-854 2000 The Mechanism of Household Reproduction in the Fishing Community on Oro Island Masakazu YAMAUCHI (Graduate Student, Tokyo University) This

More information

SPring-8_seminar_

SPring-8_seminar_ X 21 SPring-8 XAFS 2016 (= ) X PC cluster Synchrotron TEM-EELS XAFS / EELS HΨ k = E k Ψ k XANES/ELNES DFT ( + ) () WIEN2k, Elk, OLCAO () CASTEP, QUANTUM ESPRESSO FEFF, GNXAS, etc. Bethe-Salpeter (BSE)

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ選択( 復習 ) MIPS の構造 PC 命令デコーダ 次 PC 計算 mux 32x32 ビットレジスタファイル

More information

Cover Story 特集 巨大市場が見えてきた新型メモリー PRAM(phase change RAM) や MRAM(magnetoresistive RAM) で巨大市場を獲る こうしたシナリオが現実味を帯びてきた メモリー大手各社が, 製品ロードマップにこれらの新型不揮発性メモリーを含める

Cover Story 特集 巨大市場が見えてきた新型メモリー PRAM(phase change RAM) や MRAM(magnetoresistive RAM) で巨大市場を獲る こうしたシナリオが現実味を帯びてきた メモリー大手各社が, 製品ロードマップにこれらの新型不揮発性メモリーを含める 巨大市場が見えてきた新型メモリー PRAM(phase change RAM) や MRAM(magnetoresistive RAM) で巨大市場を獲る こうしたシナリオが現実味を帯びてきた メモリー大手各社が, 製品ロードマップにこれらの新型不揮発性メモリーを含めるようになり,2007 2010 年の市場投入に向けて動き始めている 各社が新型不揮発性メモリーで狙うのは, 携帯電話機, 家電, パソコン,

More information

スライド タイトルなし

スライド タイトルなし 2019. 7.18 Ibaraki Univ. Dept of Electrical & Electronic Eng. Keiichi MIYAJIMA 今後の予定 7 月 18 日メモリアーキテクチャ1 7 月 22 日メモリアーキテクチャ2 7 月 29 日まとめと 期末テストについて 8 月 5 日期末試験 メモリアーキテクチャ - メモリ装置とメモリアーキテクチャ - メモリアーキテクチャメモリ装置とは?

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2017 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ ジスタ( 復習 ) MIPS の構造 PC 次 PC 計算 メモリ 命令デコーダ 制御回路 選択演算選択レmux 32x32

More information

〈論文〉近代日本の社会事業雑誌 : 『教誨叢書』

〈論文〉近代日本の社会事業雑誌 : 『教誨叢書』 Kwansei Gakuin University Rep Title 論 文 近 代 日 本 の 社 会 事 業 雑 誌 : 教 誨 叢 書 Author(s) Citation Murota, Yasuo, 室 田, 保 夫 関 西 学 院 大 学 人 権 研 究 = Kwansei Gakuin Universi rights studies, 15: 1-17 Issue Date 2011-03-31

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション PF 研究会 磁性薄膜 多層膜を究める 2011 年 10 月 14 日 スピントロニクス研究の進展と 放射光への期待 京都大学化学研究所小野輝男 1 Institute for Chemical Research Division of Materials Chemistry Nanospintronics Lab. 2 Activities in our Lab. (1) Nanomagnetism

More information

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D> 第 4 回情報処理技術講義 コンピュータ計算の基本概念 ( 論理ハードウェア ) 60 これはなんだと思いますか? 携帯電話の開発ボードだそうです 61 ソフト開発をする人でも, ハードウェア知識は必要不可欠である コンピュータの最も基本的要素は論理電子回路であるその中でも以下の3 素子が基本となる (AN, ORは組合して作れる ) NOT NAN NOR 注意 :MOS トランジスタによる実現

More information

< 研究の背景と経緯 > ここ数十年に渡る半導体素子 回路 ソフトウェア技術の目覚ましい進展により 様々なモノがセンサー 情報処理端末を介してインターネットに接続される IoT(Internet of Things) 社会が到来しています 今後その適用先は一層増加し 私たちの日常生活においてより多く

< 研究の背景と経緯 > ここ数十年に渡る半導体素子 回路 ソフトウェア技術の目覚ましい進展により 様々なモノがセンサー 情報処理端末を介してインターネットに接続される IoT(Internet of Things) 社会が到来しています 今後その適用先は一層増加し 私たちの日常生活においてより多く - 1 - 平成 2 8 年 6 月 1 5 日 東北大学電気通信研究所 Tel: 022-217-5420( 総務係 ) 東北大学省エネルキ ー スヒ ントロニクス集積化システムセンター (CSIS) Tel: 022-217-6116( 支援室 ) 東北大学国際集積エレクトロニクス研究開発センター (CIES) Tel: 022-796-3410( 支援室 ) 東北大学原子分子材料科学高等研究機構

More information

Fig.2 Optical-microscope image of the Y face-cross sec- tion of the bulk domain structure of a 0.4-mm-thick MgO-LiNbO3 crystal after chemical etching.

Fig.2 Optical-microscope image of the Y face-cross sec- tion of the bulk domain structure of a 0.4-mm-thick MgO-LiNbO3 crystal after chemical etching. Blue EGreen Solid State Lasers Using MgO-LiNbO3 Periodic Domain Inverted Bulk Crystal and Their Applications Koji KAMIYAMA, Yoji OKAZAKI, and Akinori HARADA Fuji Photo Film. Co., Ltd., Miyanodai Technology

More information

<4D F736F F D2097CA8E718CF889CA F E F E2E646F63>

<4D F736F F D2097CA8E718CF889CA F E F E2E646F63> 量子効果デバイス第 11 回 前澤宏一 トンネル効果とフラッシュメモリ デバイスサイズの縮小縮小とトンネルトンネル効果 Si-CMOS はサイズの縮小を続けることによってその性能を伸ばしてきた チャネル長や ゲート絶縁膜の厚さ ソース ドレイン領域の深さ 電源電圧をあるルール ( これをスケーリング則という ) に従って縮小することで 高速化 低消費電力化が可能となる 集積回路の誕生以来 スケーリング側にしたがって縮小されてきたデバイスサイズは

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

第122号(A4、E)(4C)/3 宇野ほか

第122号(A4、E)(4C)/3 宇野ほか trans Development of high performance heat resistant transparent polyimides based on trans-,,, -cyclopentanetetracarboxylic dianhydride Takaaki Uno Takashi Okada Igor Rozhanskii Toshimitsu Kikuchi Kohei

More information

( ) 2. ( ) 1. 1, kg CO2 1 2,000 kg 1 CO2 19 % 2,000 2, CO2 (NEDO) (COURSE50) 2008 COURSE50 CO2 CO2 10 % 20 %

( ) 2. ( ) 1. 1, kg CO2 1 2,000 kg 1 CO2 19 % 2,000 2, CO2 (NEDO) (COURSE50) 2008 COURSE50 CO2 CO2 10 % 20 % 53 164 2011 97-103 Journal of the Combustion Society of Japan Vol.53 No.164 (2011) 97-103 SERIAL LECTURE Roles of Combustion Science and Technology toward Low-Carbon Society 工業炉の省エネルギーへの取り組み Efforts for

More information

** Department of Materials Science and Engineering, University of California, Los Angeles, CA 90025, USA) Preparation of Magnetopulmbite Type Ferrite

** Department of Materials Science and Engineering, University of California, Los Angeles, CA 90025, USA) Preparation of Magnetopulmbite Type Ferrite ** Department of Materials Science and Engineering, University of California, Los Angeles, CA 90025, USA) Preparation of Magnetopulmbite Type Ferrite Thin Films by Dip-Coating Method and Magnetic Properties

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

èCò_ï\éÜ.pdf

èCò_ï\éÜ.pdf [Co/Ru] 20 Antiferromagnetic Exchange Coupling Energy in [Co/Ru] 20 19 1...1 1.1...1 1.2...1 1.2.1...1 1.2.2...2 1.3...2 1.4...3 1.4.1...3 1.4.2...5 1.5...5 1.5.1...5 1.5.2 SF...6 1.5.3 TAMR...6 2...8

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

1 2 8 24 32 44 48 49 50 SEC journal Vol.11 No.2 Sep. 2015 1 2 SEC journal Vol.11 No.2 Sep. 2015 SEC journal Vol.11 No.2 Sep. 2015 3 4 SEC journal Vol.11 No.2 Sep. 2015 SEC journal Vol.11 No.2 Sep. 2015

More information

高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクト

高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクト 高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクトニクス研究拠点の土屋敬志博士研究員 ( 現在 東京理科大学 ) 寺部一弥グループリーダー 青野正和拠点長らの研究チームは

More information

最近の選挙キャンペーンの動向

最近の選挙キャンペーンの動向 No27 2003 7 Abstract This research paper is empirical research of the interest group's ability to gather votes. This research is attempted through the use of newspaper articles and empirical documents.

More information

パナソニック技報

パナソニック技報 Liquid Crystal Display Technology for Realizing Contrast Ratio of 1 million to 1 Katsuhiro Kikuchi LCDLiquid Crystal Display IPSIn-Plane Switching-LCD 2100:1 IPS-LCDIPS-LCD50 20:1 Realization of Liquid

More information

C-2 NiS A, NSRRC B, SL C, D, E, F A, B, Yen-Fa Liao B, Ku-Ding Tsuei B, C, C, D, D, E, F, A NiS 260 K V 2 O 3 MIT [1] MIT MIT NiS MIT NiS Ni 3 S 2 Ni

C-2 NiS A, NSRRC B, SL C, D, E, F A, B, Yen-Fa Liao B, Ku-Ding Tsuei B, C, C, D, D, E, F, A NiS 260 K V 2 O 3 MIT [1] MIT MIT NiS MIT NiS Ni 3 S 2 Ni M (emu/g) C 2, 8, 9, 10 C-1 Fe 3 O 4 A, SL B, NSRRC C, D, E, F A, B, B, C, Yen-Fa Liao C, Ku-Ding Tsuei C, D, D, E, F, A Fe 3 O 4 120K MIT V 2 O 3 MIT Cu-doped Fe3O4 NCs MIT [1] Fe 3 O 4 MIT Cu V 2 O 3

More information

スピントランジスタの基本技術を開発   ― 高速・低消費電力、メモリにもなる次世代半導体 ―

スピントランジスタの基本技術を開発   ― 高速・低消費電力、メモリにもなる次世代半導体 ― スピン MOS トランジスタの基本技術を開発 高速 低消費電力 不揮発の次世代半導体 本資料は 本年米国ボルチモアで開催の IEDM(International Electron Devices Meeting 2009) における当社講演 Read/Write Operation of Spin-Based MOSFET Using Highly Spin-Polarized Ferromagnet/MgO

More information

配信先 : 東北大学 宮城県政記者会 東北電力記者クラブ科学技術振興機構 文部科学記者会 科学記者会配付日時 : 平成 30 年 5 月 25 日午後 2 時 ( 日本時間 ) 解禁日時 : 平成 30 年 5 月 29 日午前 0 時 ( 日本時間 ) 報道機関各位 平成 30 年 5 月 25

配信先 : 東北大学 宮城県政記者会 東北電力記者クラブ科学技術振興機構 文部科学記者会 科学記者会配付日時 : 平成 30 年 5 月 25 日午後 2 時 ( 日本時間 ) 解禁日時 : 平成 30 年 5 月 29 日午前 0 時 ( 日本時間 ) 報道機関各位 平成 30 年 5 月 25 配信先 : 東北大学 宮城県政記者会 東北電力記者クラブ科学技術振興機構 文部科学記者会 科学記者会配付日時 : 平成 30 年 5 月 25 日午後 2 時 ( 日本時間 ) 解禁日時 : 平成 30 年 5 月 29 日午前 0 時 ( 日本時間 ) 報道機関各位 平成 30 年 5 月 25 日 東北大学材料科学高等研究所 (AIMR) 東北大学金属材料研究所科学技術振興機構 (JST) スピン流スイッチの動作原理を発見

More information

33312004_先端融合開発専攻_観音0314PDF用

33312004_先端融合開発専攻_観音0314PDF用 Advanced Course for Interdisciplinary Technology Development National Institute of Technology, Gifu College Advanced Course for Interdisciplinary Technology Development 1 5 Curriculum National Institute

More information

394-04

394-04 Change and Development of Continuous Casting Technology Abstract It is about 60 years that history of continuous casting process in our country. From the start of commercial operation of the first continuous

More information

1.7 D D 2 100m 10 9 ev f(x) xf(x) = c(s)x (s 1) (x + 1) (s 4.5) (1) s age parameter x f(x) ev 10 9 ev 2

1.7 D D 2 100m 10 9 ev f(x) xf(x) = c(s)x (s 1) (x + 1) (s 4.5) (1) s age parameter x f(x) ev 10 9 ev 2 2005 1 3 5.0 10 15 7.5 10 15 ev 300 12 40 Mrk421 Mrk421 1 3.7 4 20 [1] Grassberger-Procaccia [2] Wolf [3] 11 11 11 11 300 289 11 11 1 1.7 D D 2 100m 10 9 ev f(x) xf(x) = c(s)x (s 1) (x + 1) (s 4.5) (1)

More information

380-厚板06

380-厚板06 Development of Ironmaking Technology Abstract The Japanese steel industry has a long history of introducing new and innovative technologies in the field of ironmaking. The new technologies introduced during

More information

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad SuperKEKB EMITTANCE GROWTH BY MISALIGNMENTS AND JITTERS IN SUPERKEKB INJECTOR LINAC Y. Seimiya, M. Satoh, T. Suwada, T. Higo, Y. Enomoto, F. Miyahara, K. Furukawa High Energy Accelerator Research Organization

More information

ベース0516.indd

ベース0516.indd QlikView QlikView 2012 2 qlikview.com Business Discovery QlikTech QlikView QlikView QlikView QlikView 1 QlikView Server QlikTech QlikView Scaling Up vs. Scaling Out in a QlikView Environment 2 QlikView

More information

#表紙ドキュメントPDF書き出し用.indd

#表紙ドキュメントPDF書き出し用.indd MAGNETIC ENCODER MH-10 MR-13 MR-16 磁気式エンコダ モタと一体化設計とすること で 超小型ながら3 高分解能 ラインドライバ出力を 実現した磁気式エンコダです MR-13,MR-16 As designed in one unit with a motor, these magnetic encoders are very small, but are equipped

More information

NINJAL Research Papers No.8

NINJAL Research Papers No.8 (NINJAL Research Papers) 8: 177 196 (2014) ISSN: 2186-134X print/2186-1358 online 177 3 3 3 1940 3 late adoption real time 3 apparent time * 1. 1 2 3 1.1 3 1 1953 * 2014 3 18 2014 5 13 109 NINJAL 2012

More information

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130-

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130- L i t r o n T o t a l L a s e r C a p a b i l i t y Nano Series Ultra Compact Pulsed Nd:YAG Lasers Product Range Specification Nano Range Specification Stable & Stable Telescopic Resonators Model Nano

More information

代センサーネットワーク モバイル情報機器 サーバー等から研究開発実施者が想定するアプリケーションにおいて 劇的な低消費電力化を志向する新しいメモリアーキテクチャ 基本ソフトウェア アルゴリズムのデザインを提示するとともに 必要に応じて間歇動作等に求められる次世代不揮発性素子の性能を提示し システムと

代センサーネットワーク モバイル情報機器 サーバー等から研究開発実施者が想定するアプリケーションにおいて 劇的な低消費電力化を志向する新しいメモリアーキテクチャ 基本ソフトウェア アルゴリズムのデザインを提示するとともに 必要に応じて間歇動作等に求められる次世代不揮発性素子の性能を提示し システムと P11001 平成 24 年度実施方針 電子 材料 ナノテクノロジー部 1. 件名 : プログラム名 IT イノベーションプログラム ( 大項目 ) ノーマリーオフコンピューティング基盤技術開発 2. 根拠法独立行政法人新エネルギー 産業技術総合開発機構法第 15 条第 1 項第 1 号ニ 3. 背景及び目的 目標スマートグリッドやクラウドコンピューティングといった流れの中 今後コンピュータが社会のあらゆる局面で活用されることが予測されるが

More information

1. 2. 3. 5. 256K DRAM LSI DRAM DRAM 80 DRAM RIE CVD 16K 64K 256K 1M DRAM DRAM No.1 DRAM NEC DRAM KrF CMP AMAT Cu Low-k 1M 4M 16M 64M 16k 64k 4M 16M 2000 2000 90 1990 28 R&D 1988 82 1987 16

More information

Microsoft Word - 10gun_04hen_05.doc

Microsoft Word - 10gun_04hen_05.doc 10 群 ( 集積回路 ) 4 編 ( メモリ LSI) 5 章新規メモリ ( 執筆者 : 仁田山晃寛 )[2010 年 1 月受領 ] 概要 将来の進展が期待されるシリコンベースの新規の半導体メモリの最近の技術動向を概観し, 今後の技術展望を論じる. 本章の構成 本編では, 新規メモリの代表例として,PRAM(5-1 節 ),ReRAM(5-2 節 ) について述べる. 電子情報通信学会 知識ベース

More information

untitled

untitled Trade and Trade Finance in the 200809 Financial Crisis, IMF Working Paper WP/11/16., Understanding the Great Trade Collapse of 200809 and the Subsequent Trade Recovery, Economic Perspectives, 2Q/2011.

More information

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析 [17.7 White Paper] 6 V 系スーパージャンクションパワー MOSFET TO-247-4L パッケージのシミュレーションによる解析 MOSFET チップの高速スイッチング性能をより引き出すことができる 4 ピン新パッケージ TO-247-4L 背景 耐圧が 6V 以上の High Voltage(HV) パワー半導体ではオン抵抗と耐圧のトレードオフの改善を行うためスーパージャンクション

More information

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7 電気電子工学専攻 54001 電磁波特論 2-0-0 電気電子コース EEE.S401 電気電子工学専攻 54002 無線通信工学 2-0-0 電気電子コース EEE.S451 Advanced Electromagnetic Waves ( 電磁波特論 ) Wireless Communication Engineering ( 無線通信工学 ) 旧電磁波特論あるいは旧 Advanced Electromagnetic

More information

Abstract 1 1 2 Abstract Fig. 1 Fig. 2 Fig. 3 Abstract 1 2 3 4 5 6 7 8 10 9 Abstract 1 1 2 3 4 5 6 7 8 9 Abstract 1 2 3 4 Abstract 1 1 2 2 3 4 5 6 3 7 8 9 4 Abstract 1 2 3 4 5 6 7 8 9 10

More information

untitled

untitled /, S=1/2 S=0 S=1/2 - S// m H m H = S G e + + G Z (t) 1 0 t G Z (t) 1 0 t G Z (t) 1 0 t SR G Z (t) = 1/3 + (2/3)(1-2 t 2 )exp(- 2 t 2 /2) G Z (t) 1-1/3 1/3 0 3/ 3/ t G Z (t)

More information

TOP10 Innovations A triple-junction compound solar module with a conversion efficiency of 31.17%. Source SHARP CORPORATION (

TOP10 Innovations A triple-junction compound solar module with a conversion efficiency of 31.17%. Source SHARP CORPORATION ( A triple-junction compound solar module with a conversion efficiency of 31.17%. Source SHARP CORPORATION (http://www.sharp.co.jp/corporate/news/160519-a.html) 2017 Zentrum für Sonnenenergie- und Wasserstoff-Forschung

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

untitled

untitled 1 1-1 p-i-n 1-1 (CIS/CIGS CdTe ) (GaAs) (,,) (, ) (,,) Si Si Si (CIS/CIGS CdTe ) (GaAs) (,,) (, ) (,,) Si Si Si Si 1-2 HITHeterojunction with Intrinsic Thin layer 30 HIT 22.3NIKKEI MICRODEVICES, May,82-86(2008)

More information

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回>

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回> 企画特集 10-9 INNOVATION の最先端 Life & Green Nanotechnology が培う新技術 < 第 4 回 > プリンテッドエレクトロニクス時代実現に向けた材料 プロセス基盤技術の開拓 NEDO プロジェクトプロジェクトリーダー東京 学教授染 隆夫 に聞く 図6 4 3 解像度を変えた TFT アレイによる電子ペーパー 提供 凸版印刷 株 大面積圧力センサの開発

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

ブック

ブック ARMA Estimation on Process of ARMA Time Series Model Sanno University Bulletin Vol.26 No. 2 February 2006 ARMA Estimation on Process of ARMA Time Series Model Many papers and books have been published

More information

概要 東北大学金属材料研究所の周偉男博士研究員 関剛斎准教授および高梨弘毅教授のグループは 産業技術総合研究所スピントロニクス研究センターの荒井礼子博士研究員および今村裕志研究チーム長との共同研究により 外部磁場により容易に磁化スイッチングするソフト磁性材料の Ni-Fe( パーマロイ ) 合金と

概要 東北大学金属材料研究所の周偉男博士研究員 関剛斎准教授および高梨弘毅教授のグループは 産業技術総合研究所スピントロニクス研究センターの荒井礼子博士研究員および今村裕志研究チーム長との共同研究により 外部磁場により容易に磁化スイッチングするソフト磁性材料の Ni-Fe( パーマロイ ) 合金と 報道機関各位 平成 28 年 12 月 08 日 東北大学金属材料研究所産業技術総合研究所 磁気モーメントの渦の運動が可能にする省エネルギー情報記録 - ハードディスクの超高密度化と超低消費電力動作の両立に新たな道 - 発表のポイント 磁石の向きが変化しやすい Ni-Fe 合金層と 磁石の向きが変化しにくい FePt 規則合金層を組み合わせたナノ磁石を作製し 磁気記憶デバイスの情報記録のしくみである

More information

chisq.test corresp plot

chisq.test corresp plot Research and Analysis about Study Time using R MIYOSHI Yoshihiko In Saitama W. J. College, we have implemented many surveys which are the freshman questionnaire, the graduate satisfaction questionnaire,

More information

pp 427 438 2006 Dimensional Change Card Sort ****** ** 2005 9 30 2004 8 2002 4 Zelazo, P. D., Carter, A., Reznick, J. S. & Frye, D. 1997 10 2003 Zelaz

pp 427 438 2006 Dimensional Change Card Sort ****** ** 2005 9 30 2004 8 2002 4 Zelazo, P. D., Carter, A., Reznick, J. S. & Frye, D. 1997 10 2003 Zelaz Title 幼 児 の 実 行 機 能 の 発 達 過 程 : Dimensional Change C を 用 いたルールの 理 解 とその 使 用 に 関 する 検 討 Author(s) 浮 穴, 寿 香 ; 橋 本, 創 一 ; 出 口, 利 定 Citation 東 京 学 芸 大 学 紀 要. 総 合 教 育 科 学 系, 57: 427-438 Issue Date 2006-02-00

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

1) T. L. Cottrel, A. J. Matheson, Trans. Farad. Soc., 58, 2336(1962). 2) E. N. Chesnokov, V. N. Panfilov, Teor. Eksp. Khimiya, 17, 699(1981). 3) M. Ko

1) T. L. Cottrel, A. J. Matheson, Trans. Farad. Soc., 58, 2336(1962). 2) E. N. Chesnokov, V. N. Panfilov, Teor. Eksp. Khimiya, 17, 699(1981). 3) M. Ko 1) T. L. Cottrel, A. J. Matheson, Trans. Farad. Soc., 58, 2336(1962). 2) E. N. Chesnokov, V. N. Panfilov, Teor. Eksp. Khimiya, 17, 699(1981). 3) M. Koshi, M. Yoshimura, K. Koseki, H. Matsui, "Photoacoustic

More information

MRI | 所報 | 分権経営の進展下におけるグループ・マネジメント

MRI  | 所報 | 分権経営の進展下におけるグループ・マネジメント JOURNAL OF MITSUBISHI RESEARCH INSTITUTE No. 35 1999 (03)3277-0003 FAX (03)3277-0520 E-mailprd@mri.co.jp 76 Research Paper Group Management in the Development of Decentralized Management Satoshi Komatsubara,

More information

Table 2 DENSO Port Injection Fuel Injectors Fig.1 Port Fuel Injection System and Module 1996 CO ポート噴射システム 1 ( 1) HC 2 UC [2] (

Table 2 DENSO Port Injection Fuel Injectors Fig.1 Port Fuel Injection System and Module 1996 CO ポート噴射システム 1 ( 1) HC 2 UC [2] ( 52 161 2010 189-197 Journal of the Combustion Society of Japan Vol.52 No.161 (2010) 189-197 FEATURE Evolution of Element and Peripheral Technologies in Engine Combustion 燃料噴射系製品のこれまでの歩みと将来の展望 History and

More information

特集_02-03.Q3C

特集_02-03.Q3C 2-3 A Development of Experimental Environments "SIOS" and "VM Nebula" for Reproducing Internet Security Incidents MIWA Shinsuke and OHNO Hiroyuki Security incidents are growing significantly on a daily

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2004 SPring-8 2004/6/21 CMOS 2004 2007 2010 2013 nm 90 65 45 32 (nm) 1.2 0.9 0.7 0.6 High-performance Logic Technology Requirements (ITRS 2003) 10 Photoelectron Intensity (arb.units) CTR a-sio2 0.1 HfO

More information

20 m Au 2. 現行のマイクロバンプ形成技術における課題 Au Au Au 2 WB 11 m m 1 m 2008 Au FC m 10 m 30 m OTK Au 表 1 マイクロバンプ形成におけるめっき法の比較 3. 無電解めっきによる Au

20 m Au 2. 現行のマイクロバンプ形成技術における課題 Au Au Au 2 WB 11 m m 1 m 2008 Au FC m 10 m 30 m OTK Au 表 1 マイクロバンプ形成におけるめっき法の比較 3. 無電解めっきによる Au Fabrication technology of Au micro-bump by electroless plating. 関東化学株式会社技術 開発本部中央研究所第四研究室德久智明 Tomoaki Tokuhisa Central Research Laboratory, Technology & Development Division, Kanto Chemical Co., Inc. 1.

More information

16 (16) poly-si mJ/cm 2 ELA poly-si super cooled liquid, SCL [3] a-si poly-si [4] solid phase crystalization, SPC [5] mJ/cm 2 SPC SCL (di

16 (16) poly-si mJ/cm 2 ELA poly-si super cooled liquid, SCL [3] a-si poly-si [4] solid phase crystalization, SPC [5] mJ/cm 2 SPC SCL (di (15) 15 ELA により形成された poly-si 結晶成長様式 - グレイン形状と水素の関係 - Crystal Growth Mode of Poly-Si Prepared by ELA -Relationship between the Grain Morphology and ydrogens- Naoya KAWAMOTO (Dept. of Electrical and Electronic

More information

5V 2.4 DSOF 4 1 1-1 1-2 5V 1-3 SET RESET 5V 5V 1-4 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 1 2 3 4 5 2 2-1 SET RESET 5V 5V 2-2 1 2 3 5V 5V 1 2 3 4 2-3 2-4

More information

JILPT Discussion Paper 05-013 2005 7 - 2-3 3 3 4 4 5 5 5 6 7 8 9 9 10 10 10 10 10 12 12 14 15 20 - 3 - Schaie, 1980-4 - - 5-11 33 44 19 22 7 (1994) 20 30 2 3 4 5 5 20 (2002) DELL Dimemsin4500C CRT 1 1

More information

NTN TECHNICAL REVIEW No.8(212) 従来の磁性材料フェライトおよびFe-6.5Siに比べ, 大電流を流してもインダクタンス変化率は小さい. フェライトに比べ高周波領域でのインダクタンスの変化率は小さく, 特性が安定している. 以上から,AS1は大電流かつ安定した高周波特性が

NTN TECHNICAL REVIEW No.8(212) 従来の磁性材料フェライトおよびFe-6.5Siに比べ, 大電流を流してもインダクタンス変化率は小さい. フェライトに比べ高周波領域でのインダクタンスの変化率は小さく, 特性が安定している. 以上から,AS1は大電流かつ安定した高周波特性が NTN TECHNICAL REVIEW No.8(212) [ 製品紹介 ] 磁性材料商品の紹介 Introduction of Magnetic Material Products 原野拓治 * Takuji HARANO 宮崎真二 * Shinji MIYAZAKI 勝浦 肇 * Hajime KATSUURA NTN のグループ会社である日本科学冶金株式会社は, 高飽和磁束密度, 低鉄損で周波数特性が良好なアモルファス磁性材料を中心に,

More information

untitled

untitled 2008-11/13 12 4 12 5 401 501 702 401 501 A-1 9:00-10:30 B-1 9:15-10:30 C-1 9:00-10:30 A-5 9:00-10:30 B-5 9:15-10:30 A A-2 10:45-12:15 B-2 10:45-12:15 C-2 10:45-12:15 A-6 10:45-12:15 B-6 10:45-12:15 A B

More information

自分の天職をつかめ

自分の天職をつかめ Hiroshi Kawasaki / / 13 4 10 18 35 50 600 4 350 400 074 2011 autumn / No.389 5 5 I 1 4 1 11 90 20 22 22 352 325 27 81 9 3 7 370 2 400 377 23 83 12 3 2 410 3 415 391 24 82 9 3 6 470 4 389 362 27 78 9 5

More information

.N..

.N.. Examination of the lecture by the questionnaire of class evaluation -Analysis and proposal of result at the first term of fiscal year - Kazuo MORI, Tukasa FUKUSHIMA, Michio TAKEUCHI, Norihiro UMEDA, Katuya

More information

Author Workshop 20111124 Henry Cavendish 1731-1810 Biot-Savart 26 (1) (2) (3) (4) (5) (6) Priority Proceeding Impact factor Full paper impact factor Peter Drucker 1890-1971 1903-1989 Title) Abstract

More information

Laser Ablation Dynamics of Amorphous Film of a Cu-Phthalocyanine Derivative Masahiro HOSODA*,**, Hiroshi FURUTANI*,**. Hiroshi FUKUMURA*,** Hiroshi MASUHARA*, Masanobu NISHII*** Nobuyuki ICHINOSE**,***,

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

Microsoft Word - 10gun_04hen_04.doc

Microsoft Word - 10gun_04hen_04.doc 10 群 ( 集積回路 ) 4 編 ( メモリ LSI) 4 章不揮発性大容量メモリ ( 執筆者 : 仁田山晃寛 )[2010 年 1 月受領 ] 概要 Code Storage Memory または Data Storage Memory として大容量のメモリ LSI を提供する不揮発性大容量メモリの最近の技術動向を概観し, 今後の技術展望を論じる. 本章の構成 本編では, 不揮発性大容量メモリの代表例として,NAND-flash

More information

I 1) 2) 51 (1976) 6.9 ha 9 (1934) 2km 15, (1955) 6 (1620)

I 1) 2) 51 (1976) 6.9 ha 9 (1934) 2km 15, (1955) 6 (1620) I 1) 2) 51 (1976) 6.9 ha 9 (1934) 2km 15,000 30 (1955) 6 (1620) 3 1 1 45 16 2003 50 (1975) 2 150 49 (1974) II (1) 3) 1 49 50 51 53 54 56 57 59 61 63 3 8 9 10 11 12 NHK 46 2 44 (1969) 2 40 50 240 60 (1985)

More information

ナノテクノロジ

ナノテクノロジ Nanotechnology 10 1 HEMT 201 2000 12 Abstract Since former President Clinton announced the National Nanotechnology Initiative, nanotechnology has become a well-known field. It has attracted much attention

More information

表紙1

表紙1 Graduate School of Engineering Nagasaki Institute of Applied Science Graduate School of Engineering Nagasaki Institute of Applied Science Institute for Innovative Science and Technology Doctoral Program

More information

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, biological imaging, and other applications because of

More information

2 3

2 3 * This device can only be used inside Japan in areas that are covered by subscription cable TV services. Because of differences in broadcast formats and power supply voltages, it cannot be used in overseas

More information

untitled

untitled 254nm UV TiO 2 20nm :Sr 5 Ta 4 O 15 3 4 KEY-1 KEY-2 (Ti,Nb,Ta) 5 KEY-1 KEY-2 6 7 NiO/ Sr 2 Ta 2 O 7 mmol h -1 g -1 20 15 10 5 H 2 O 2 H 2 O 2 0 0 2 4 6 8 10 12 NiO/Sr 2 Ta 2 O 7 The synthesis of photocatalysts

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information