MechLab.dvi

Size: px
Start display at page:

Download "MechLab.dvi"

Transcription

1 Rev. 1.0, August Hterm Hterm Hterm

2 HEW printf scanf , NMI ( V)

3 B B B ( ) ROM WDT(WatchDog Timer) PWM RC DC PWM PWM(Pulse Width Modulation) DC (H ) DC (TB6552) PWM RC RC GWS Micro2BBMG Z Z Z PWM Z Z Z

4 (QRB1134) A/D H8/36064 A/D A/D ,2,4 ( ) (H8/36064) (WRC-003) A iodefine.h 67 4

5 [ctrl***] * 3 S5-104 S (Microcomputer) (Microcontroller) DC DC ( ) DC ( ) H8 ATMEL AVR PIC H8 H8/36064 H8/36064 CPU A/D 5

6 0.3 VStone WRC-003 H8 H8/36064 CPU( H8 H8/36064 ) ( TB6552FN) USB- (Silicon Labs. CP2102) (Abracon Coporation ABMM [MHz]) LED 2 USB (mini-b ) 0.4 C C HEW(High-performance Embedded Workshop) HEW H HEW FDT ROM HTERM RAM 6

7 0.6 RAM C MonitorSample.c MonitorIntprg.c 0.8 C: Program Files Renesas doc index.html 1. VS-WRC VS-WRC003 C 3. HEW 4. VS-WRC H H8 C/C++ Ver H8 High-performance Embedded Workshop V H8 E8a 9. H8 E8/E8a 10. DC TB6552FN 11. USB- CP readme.htm 13. monitor.htm ( ) 7

8 H H H8/Tiny CQ 2005 [ ] H8 I/O 2007 [ ] H [ ] C 2006 [ ] H [ ] ( ) 3. VS-WRC003 (vs-wrc003b.pdf) H (rjj09b0049 h pdf) 6. iodefine.h 7. 8

9 printf ROM RAM ROM ROM ROM 1.1 ROM printf PC モニタプログラム (mot) FDT 転送書込 USB ケーブル マイコン ROM 領域モニタプログラム RAM 領域 PC HEW コンパイル自作プログラム (mot) USB ケーブル HTERM 転送実行 マイコン電源 ON ROM 領域実行モニタプログラム RAM 領域自作プログラム (a) (b). 1.1: RAM PC HEW コンパイル自作プログラム (mot) FDT 転送書込 USB ケーブル マイコン電源 ON ROM 領域実行自作プログラム RAM 領域. 1.2: ROM 9

10 Table 1.1: ROM ROM ( ) ( )1,000 printf ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) : 1. (FDT)[ ] 2. (HEW) 3. (HEW) mot 4. mot (HTERM) 5. (HTERM) ROM mot RAM (USB ) mot ROM ROM FDT(Flash Development Toolkit) 10

11 1. MONITOR.MOT MONITOR.MOT 2. VS-WRC003 C (HEW samplemanual v0.2.pdf) p.19 FDT VS-WRC003 COM [COM3] 3. VS-WRC003 C (HEW samplemanual v0.2.pdf) p.8 VS-WRC003 MONITOR.MOT LED.mot MONITOR.MOT Full Name: H8/36064F : [Mhz] 4. HTERM HTERM readme.htm (monitor.htm) Hterm RAM RAM 2K (float, double) printf, scanf L2321 (E) Section B overlaps section P printf, scanf (char, int ) 11

12 1.4 Hterm Hterm Hterm Hterm Hterm 1. USB OFF 2. ON LED2( ) 3. Renesas Hterm 4. H8/36064 Series Normal Mode Monitor Ver. 2.0B Copyright (C) 2003 Renesas Technology Corp. 1. OFF 2. Hterm 3. ON 4. Hterm 5. ( ) 1. Hterm 2. Hterm Hterm 3. (C) COM3 (B):38400 OK HEW abs ( abs ) abs 12

13 abs 1. Hterm 2. Hterm Load F9 3. (T): ELF/DWARF (*.abs) 4. abs Hterm Go F5 mot 1 Z dump Hterm Dump 16 ASCII Hterm Console D D monitor.htm ( ) (monitor.htm) Hterm Periferal H (rjj09b0049 h pdf) 13

14 H8 H8 monitor.htm ( ) (monitor.htm) ( ) ( ASCII ) (bit) (byte) ( ) ( 36) = (word) A B C D E F AB12 AB printf printf printf printf (b ) (s ) Hterm 1 (Pstep) 1 CPU 14

15 monitor.htm ( ) (monitor.htm) printf scanf SET VECTOR TABLE resetprg.c main SET VECTOR TABLE SET VECTOR TABLE 1.5 C: WorkSpace 010MonitorMemory.zip HEW Renesas High-performance Embedded Workshop High-performance Embedded Workshop HEW C F7 Build 0 errors C: WorkSpace 010MonitorMemory MonitorSample Debug abs mot abs mot Hterm printf scanf 1 printf scanf printf Hterm scanf Hterm printf scanf ROM printf scanf printf scanf C 15

16 1.5.3 / 1.1 / void main(void) { int i, offset; int array[6]; char message[16]; printf( "Input offset: " ); scanf( "%d", &offset ); printf( "\n" ); for( i = 0; i < 6; i++ ){ array[i] = i i+offset; printf( "%d %3d 0x%02x\n", i, array[i], array[i] ); } printf( "\n" ); printf( "array dump: %x\n", array ); printf( "message dump: %x\n", message ); i = 0; message[i++] = 0x48; message[i++] = 0x65; message[i++] = 0x6C; message[i++] = 0x6C; message[i++] = 0x6F; message[i++] = 0x20; message[i++] = 0x54; message[i++] = 0x6F; message[i++] = 0x6B; message[i++] = 0x79; message[i++] = 0x6F; message[i++] = 0x54; message[i++] = 0x65; message[i++] = 0x63; message[i++] = 0x68; message[i++] = 0x21; } RESET(); 16

17 ROM array message ASCII

18 LED CPU CPU CPU A/D タイマ A/D 変換器 周辺回路 外部入力スイッチマウスキーボードセンサ通信ポート CPU メモリ ROM 領域 RAM 領域プログラムデータ 外部出力 LED モータサーボアクチュエータ通信ポート. 2.1: CPU 18

19 A/D 2.1 CPU 2.2 Renesas H8/ CPU 2.2 CPU CPU (1) ( ) (2) CPU (1) ( ) (2). 2.2: (Renesas H8/36064 ). 2.3: (Renesas H8/36064 ) 19

20 CPU (1) (2) CPU ( ) ( ) ( ) ( ) 2.3 Renesas H8/ (0 1 ) LED LED 2.4 H8/36064 P64 P65 Vstone WRC VS-WRC003 (vs-wrc003b.pdf) 20

21 . 2.4: (Renesas H8/36064 ) Table 2.2: P21/RXD CP2102 TXD P22/TXD CP2102 RXD P60/FTIOA0 TB6552FN APWM Z TB6552FN CN1 P30 TB6552FN AIN1 TB6552FN CN1 P31 TB6552FN AIN2 TB6552FN CN1 P61/FTIOB0 TB6552FN BPWM Z TB6552FN CN2 P32 TB6552FN BIN1 TB6552FN CN2 P33 TB6552FN BIN2 TB6552FN CN2 P55/WKP5/ADTRG 0 1 NMI P55 NMI P64/FTIOA1 LED1 0 1 P65/FTIOB1 LED2 0 1 P76/TMOV V PB0/AN0 (CN4) PB1/AN1 (CN5) PB2/AN2 (CN6) PB3/AN3 (CN7) 21

22 2.4.2 H8 ( ) iodefine.h / PMR( ) H (rjj09b0049 h pdf) PCR( ) 1 0 PUCR( ) MOS 1 0 PDR( ) PORT PMR PCR PUCR PDR P1 IO.PMR1 IO.PCR1 IO.PUCR1 IO.PDR1 P2 IO.PMR3 IO.PCR2 - IO.PDR2 P3 - IO.PCR3 - IO.PDR3 P5 IO.PMR5 IO.PCR5 IO.PUCR5 IO.PDR5 P6 - IO.PCR6 - IO.PDR6 P7 - IO.PCR7 - IO.PDR7 P8 - IO.PCR8 - IO.PDR7 PB IO.PDRB BYTE BIT.B? iodefine.h 22

23 2.4.4 LED1 2.2 P64 LED1 P64 LED1 IO.PCR6 4 1 P64 IO.PDR6 4 0 LED1 LED1 / 2.1 / void main(void) { IO.PCR6 = 0x10; / IO.PCR6 4 1 (P64 ) / IO.PDR6.BIT.B4 = 0; / IO.PDR6.BIT.B4 0 (P64 Low ) / } for(;;){ / / } P55 P55 IO.PMR5 5 0 P55 IO.PCR5 5 0 P55 OFF IO.PDR / 2.2 / void main(void) { IO.PMR5.BIT.WKP5 = 0; / 5 0 (P55 ) / IO.PCR5 &= 0xDF; / 5 0 (P55 ) / } for(;;){ / / if( IO.PDR5.BIT.B5 == 0 ){ / / }else{ / / } } 23

24 P76/TMOV ON/OFF ON/OFF / 2.3 / void BuzzerSet(unsigned char pitch, unsigned char vol) { TV.TCRV0.BYTE=0x00; TV.TCRV1.BYTE=0x01; TV.TCSRV.BYTE=0x06; } TV.TCORA=(unsigned char)( ((unsigned int)(pitch) (unsigned int)(vol)) >> 8 ); TV.TCORB=pitch; void BuzzerON() / buzzer start / { TV.TCRV0.BIT.CKS = 0x03; } void BuzzerOFF() / buzzer stop / { TV.TCRV0.BIT.CKS = 0x00; } V TMOV V TMOV BuzzerSet BuzzerSet pitch vol pitch vol pitch vol BuzzerSet BuzzerON() BuzzerON() BuzzerOFF() OFF 24

25 LED LED1 LED2 LED1 LED V 2-4. V

26 ( ) C ( ) CPU GUI CPU 2 Windows Windows 26

27 CPU CPU CPU ( ) ( ) ( ) ( ) ( ) 1. ( ) ( ) T[sec] T[sec] (PC, Program Counter) ( ) 27

28 ベクタテーブル 割り込み関数のアドレス割り込み関数のアドレス割り込み関数のアドレス 割り込み要因毎に割り込み関数のアドレスが設定されている 4 割り込み関数のアドレス読込 5 割り込み処理開始 6 割り込み処理終了 メモリ 命令 1 命令 2 命令 3 PC(Program Counter) 命令 1 実行後, 命令 2 のアドレスへ移動 メイン処理 1 割り込み要因発生 2 メイン処理中断 割り込み処理 3 内部状態の待避 内部状態 (PC や各種レジスタ ) メイン処理 8 メイン処理再開 7 内部状態の読込 Time スタック領域. 3.1: PC. 3.2: CPU 3 ( 3.2) ( ) 28

29 MonitorIntprg.c INT NMI H (rjj09b0049 h pdf) B1 B1 WKP Table 3.3: (Renesas H8/36064 ) NMI INT NMI WKP INT WKP V A INT TimerV B A/D A/D INT ADI Z0 INT TimerZ0 Z1 INT TimerZ1 B1 INT TimerB ( ) 29

30 High(1) Low(0) ポートの入力 OFF ON 不安定 ( チャタリング ) Time. 3.3: High(1) Low(0) High(1) Low(0) ポートの入力 結果 OFF 割り込み ON Wait 再確認 割り込み OFF Wait 再確認 (a) Time Time ポートの入力 High(1) OFF Low(0) サンプリング High(1) Low(0) 結果 ON (b) OFF Time 周期はチャタリング期間より長く設定 Time チャタリング時にサンプリングしても結果は安定 ( ただし, 二通りの可能性のどちらになるかは不明 ). 3.4: P ON OFF ON OFF

31 LPF(Low-Pass Filter) ( ) 3.4 ON/OFF (ON OFF OFF ON ) 1 1 割り込み要求フラグ 1 割り込み処理クリア 割り込み処理クリア 全ての割り込み禁止 set_imask_ccr((_ubyte)1) 0 Time 割り込み発生 割り込み発生 (a) 割り込みの設定 ( レジスタの設定など ) 割り込み要求フラグ 1 割り込み処理 割り込み処理 割り込み処理 割り込み要求フラグを 0 にクリア 0 Time 割り込み発生 (b). 3.5: 全ての割り込み許可 set_imask_ccr((_ubyte)0). 3.6: 31

32 3.2.8, NMI (a) (a) 1 0 CPU 3.6 set imask ccr 1 set imask ccr 0 set imask ccr(1); /* */ set imask ccr(0); /* */ NMI(Non Maskable Interrupt) NMI set imask ccr (WRC-003) NMI P ITU(, Integrated Timer Unit)

33 H8/36064 B1 V Z(2 ) 4 H8/36064 WDT( WatchDog Timer) 14 PWM H (rjj09b0049 h pdf) 3.7 (PWM) PWM PWM PWM PWM 3.7 H (rjj09b0049 h pdf) 入力信号 立ち上がり立ち下がり両エッジ カウンタ ( カウントアップ ) クリア コンペアレジスタ 比較 割り込み発生出力変更. 3.7: 33

34 3.3.3 ( V) V V V 8 2 PWM BuzzerSet TCRV0 TCRV1, TCSRV, TCORA TCORB 3.4 TCRV0 TCRV1 TCSRV 3.5 TCORA TCORB BuzzerSet V 3.5-(c) BuzzerSet CKS2 CKS1 CKS0 ICKS0 = CMIEB,CMIEA OVIE 0 CCLR1 CCLR0 = TCORA TCORB TCORB pitch TCORA pitch vol/256 >> C unsigned char 255 TCORA < TCORB OS3 OS2 = 0 1 (TCNTV) TCORB TMOV 0 OS1 OS0 = 1 0 (TCNTV) TCORA 34

35 0xFF TCORB TCORA TCNTV の値 ( カウンタの値 ) 0x00 High(1) Low(0) TOMV の出力 Time Time. 3.8: TOMV V TMOV 1 BuzzerSet BuzzerStart CKS 0x03 BuzzerStart CKS2 CKS1 CKS0 ICKS0 = (c) /128 WRC [MHz] BuzzerStop CKS 0x03 BuzzerStop CKS2 CKS1 CKS0 ICKS0 = (c) 3.8 V TOMV TOMV ON/OFF TCORA TOMV 1 TCORB TOMV

36 Table 3.4: V (a) TCRV CMIEB CMIEA OVIE CCLR1 CCLR0 CKS2 CKS1 CKS0 HEX x00 (c) TCRV TVEG1 TVEG0 TRGE - ICKS0 HEX x01 (c) TCSRV CMFV CMFA OVF - OS3 OS2 OS1 OS0 HEX x06 Table 3.5: V (Renesas H8/36064 ) (a) TCRV0 (b) TCRV1 (c) (CKS,ICKS) (d) TCSRV 36

37 3.4 B B1 B1 8 ( ) B1 3.9 B1 B1 B1 ( ) [msec] 10[msec] B1 5[msec] B1 3.6 B B / [MHz] B [MHz] / 512 = 28.8[KHz] 5[msec] 28.8[KHz] 5[msec] / 28.8[KHz] = 144[count] B1 8 (256) (TLB1) =112 B1 / B B1 B1 3.7 / IENR2 IRR2 2 37

38 IENR2 1 B1 IRR2 1 IENR2 1 IRR2 0 IRR

39 0xFF TCB1 の値 ( カウンタの値 ) 5[msec] TLB1 0x00 割り込み要求発生 割り込み要求発生 割り込み要求発生 Time High(1) 出力 割り込み処理 トグル出力 割り込み処理 トグル出力 割り込み処理 トグル出力 Low(0) 10[msec] Time. 3.9: B1 Table 3.6: B1 (TMB1)(Renesas H8/36064 ) Table 3.7: B1 (Renesas H8/36064 ) (a) / (IENR2) (b) (IRR2) 39

40 3.4.4 LED1 1/4 LED1 MonitorIntprg.c / / / global variables / / / unsigned char gcnt; / / / interrupt functions / / / void INT NMI(void) { RESET(); } void INT TimerB1(void) { IRR2.BIT.IRRTB1 = 0; / / IO.PDR7.BIT.B6 =!IO.PDR7.BIT.B6; / (P76) ( ) / } gcnt = gcnt + 1; / gcnt / gcnt = gcnt & 0x03; / 2 / if( gcnt == 0 ){ / 1/4 / IO.PDR6.BIT.B4 =!IO.PDR6.BIT.B4; / LED1 (P64) / } MonitorSample.c / / / main function / / / void main(void) { set imask ccr(1); / / IO.PCR6 = 0x10; / IO.PCR6 4 1 (P64 ) / TV.TCSRV.BIT.OS = 0; / P76 / IO.PCR7 = 0x40; / IO.PCR7 6 1 (P76 ) / TB1.TMB1.BIT.RLD = 1; / / TB1.TMB1.BIT.CKS = 2; / [010] /512 / TB1.TLB1 = 122; / TLB1 122, 144 / IRR2.BIT.IRRTB1 = 0; / TMB1 / IENR2.BIT.IENTB1 = 1; / TMB1 / set imask ccr(0); / / } for(;;){} / / 40

41 B1 B1 MonitorIntprg.c / / / global variables / / / unsigned char gp55old = 0xFF; / P55 / / / / interrupt functions / / / void INT NMI(void) { RESET(); } void INT TimerB1(void) { unsigned char P55; IRR2.BIT.IRRTB1 = 0; / / P55 = IO.PDR5.BIT.B5; / P55 / if( gp55old == 1 && P55 == 0 ){ / / }else if( gp55old == 0 && P55 == 1 ){ / / } } gp55old = P55; / P55 / 41

42 LED LED ( ) 3-2. LED LED (LED1,LED2) (, ) ( ) ( ) ( ) ( ) 3-3. LED 3-4. LED

43 LED1 LED2 1 LED1 LED2 (LED1 LED2 ) ( ) 30 LED1 LED2 ( ) LED1 LED2 4.3 ( ) ( ) ( ) ( )?? (a,i,u,e,o) (k,s,t,n,h,m,y,r,w,...) 入力待ち状態 a i u e o s k a か行入力状態 i u e o a さ行入力状態 i u e o あ いうえお か きくけこ さ しすせそ. 4.1: 43

44 電源 ON 待機状態 LED1: 点灯,LED2 点灯 押しボタン入力 押しボタン入力 アラーム状態 LED1: 点滅,LED2 点滅 カウント状態 LED1: 点灯,LED2 消灯 LED1: 消灯,LED2 点灯 設定時間経過. 4.2: k (a,i,u,e,o) a ON gstate V TOMV (TCROA,TCROB)

45 LED1 LED2 LED1 P64 LED2 P65 P64 P65 LED1 LED2 / P55 P B B LED MonitorSample.c main MonitorIntprg.c (gstatus) (gcount) B1 INT TimerB1 45

46 4.5 ROM ( ) MonitorSample.c MonitorIntprg.c ROM ROM : 1. Renesas High-performance Embedded Workshop Highperformance Embedded Workshop HEW 2. OK HEW 3. OK : Application : app ( ) : app ( ) : C WorkSpace app ( ) CPU : H8S,H8/300 : Hitachi H8S,H8/300 Standard 4. -1/9-CPU 1/9 : CPU : 300H CPU : CPU CPU 5. OK ( ) iodefine.h #include iodefine.h #include iodefine.h Dependencies iodefine.h 8. ( ) WDT(WatchDog Timer) 9. app.c main intprg.c VS- WRC003 C (HEW samplemanual v0.2.pdf) p.8 VS- WRC003 mot 46

47 4.5.3 WDT(WatchDog Timer) WDT(WatchDog Timer ) WDT WDT WDT WDT 0 WDT WDT 0 WDT 0 WDT WDT WDT WDT WDT 0 WDT 0 WDT 0 WDT (H8/36064) WDT WDT ROM WDT WDT WDT H (rjj09b0049 h pdf) #include iodefine.h WDT / / / / / FILE :app.c / / DATE :xxx, xxx xx, 20xx / / DESCRIPTION :Main Program / / CPU TYPE :H8/36064 / / / / This file is generated by Renesas Project Generator (Ver.4.9). / / / / / #include "iodefine.h" / / void main(void); #ifdef cplusplus extern "C" { 47

48 void abort(void); } #endif void main(void) { / WDT OFF / WDT.TCSRWD.BYTE=0x1E; / TCSRWD write enable && WDON: 0 && WRST: 0 (HWM ) / WDT.TCSRWD.BYTE=0x80; / TCSRWD write disnable (HWM ) / WDT.TCWD=0x00; / Timer Counter Reset / } #ifdef cplusplus void abort(void) { } #endif printf 4-3. printf 4-4. ROM

49 5 PWM RC PWM DC 5-3. RC 5.2 DC PWM PWM(Pulse Width Modulation) 0(Low) 1(High) DC (1) (0) 2 DC PWM(Pulse Width Modulation ) 5.1 PWM PWM PWM 1 DC 0 DC 1 ( 1 ) 10% 10% ( 1 ) 75% 75% PWM ( ) 5.2 PWM 1 ( ) PWM 5.1 DC DC DC DC 最大出力 最大出力 出力 25% 周期 周期 出力 75% 最大出力 最大出力 周期 アナログ値制御 周期 PWM 制御. 5.1: PWM. 5.2: PWM 4 D/A D/A H8/36064 D/A 49

50 ( ) DC PWM DC (H ) LED DC DC DC DC DC 5.3 H 5.3 (SW) ON/OFF 5.3 H 4 H H ON/OFF (a) (b) (c) (d) DC DC Vm (High) Vm (High) SW1 On SW2 Off SW1 Off SW2 On SW3 Off O1 H M O2 L SW4 On SW3 On O1 L M O2 H SW4 Off GND(Low) GND(Low) (a) (b) Vm (High) Vm (High) SW1 Off SW2 Off SW1 Off SW2 Off SW3 On O1 L M O2 L SW4 On SW3 Off O1 Z M O2 Z SW4 Off GND(Low) GND(Low) (c) (d). 5.3: H, Z 50

51 H8/36064 TB6552 FTIOA0/P60 P30 P31 FTIOB0/P61 P32 P33 APWM AIN1 AIN2 BPWM BIN1 BIN2 AO1 AO2 BO1 BO2 CN1 CN2 M M DC Motor1 DC Motor2 正転 逆転 TB6552 APWM AO1 AIN1 AIN2 AO2 BPWM BIN1 BIN2 BO1 BO2 VA VB VA +Vm 0 -Vm VA +Vm 0 -Vm. 5.4:. 5.5: PWM O1 O2 ( ) DC DC DC DC DC PWM DC PWM H 4 ON/OFF H H DC IC DC IC TB DC (TB6552) PWM (H8/36064) DC (TB6552) DC 5.4 DC (TB6552) 5.8 VS-WRC003 (vs-wrc003b.pdf) DC TB6552FN Table 5.8: TB6552 (DC TB6552FN ), Z IN1 IN2 PWM O1 O2 H H L H L L L L H L H L H L L L H H H L L L H L L L Z Z 51

52 ªªª ªªª ªªª ªªª ªªª WRC-003 ( マイコンボード ) Vcc GND H8/36064 FTIOC1/P66 CN10 茶 26 赤 24 オレンジ 10 Micro2BBMG GND Vcc 制御信号. 5.6: RC. 5.7: RC (TB6552FL TB6552FNG ja datasheet pdf) 5.5 IN1 IN2 PWM DC 5.3 RC RC RC RC DC DC DC GWS Micro2BBMG GWS Micro2BBMG RC PWM RC [msec] Table 5.9: GWS Micro2BBMG [msec] 0.9[msec] 60[deg] [V] 1.5[msec] 0[deg] 0.17 [sec] / 60[deg] 2.1[msec] 60[deg] 5.4 [kg cm] 52

53 ±0.6[msec] ±60[deg] 0.1[msec] 10[deg] PWM [msec] RC CN Z H8/ Z PWM Z H8/36064 Z0 Z1 2 (2 ) 2 Z x0000 0xFFFF (GRA, GRB, GRC, GRD) / Z / Z PWM V 2 (TCROA,TCROB) 1 TCROA 1 TCROB 0 Table 5.10: Z Z0 Z1 GRA0 P60/FTIOA0 GRA1 P64/FTIOA1 GRB0 P61/FTIOB0 GRB1 P65/FTIOB1 GRC0 P62/FTIOC0 GRC1 P66/FTIOC1 GRD0 P63/FTIOD0 GRD1 P67/FTIOD1 53

54 0xFFFF TCNT の値 ( カウンタの値 ) GRA 0x0000 Time FTIOA 出力 High(1) 割り込み処理 割り込み処理 割り込み処理 Low(0) Time. 5.8: Z Z Z0 DC (TMDR,TPMR,TFCR) TMDR,TPMR, TFCR (TCR) TCR TPSC Z /4 TPSC2 TPSC1 TPSC0 =

55 (TCR) TCR CCLR CCLR2 CCLR1 CCLR0 = (TIER,TSR) TIER TSR OVIE = 1 TSR OVF 0 (TOER) P60/FTIOA0 P61/FTIOB0 TOER P60/FTIOA0 P61/FTIOB0 EA0 = 0 EB0 = 0 (TIORA, TIORC) TIORA TIORC FTIOA FTIOB TIORA FTIOC FTIOD TIORC FTIOA0 0 IOA2 IOA1 IOA0 = FTIOB0 0 IOB2 IOB1 IOB0 = (GRA,GRB,GRC,GRD) GRA0 FTIOA0 GRB0 FTIOB0 GRA0 GRB0 0xFFFF / (TSTR) Z0 TSTR STR0 1 TSTR STR Z 0 (TSR) TSR OVF 0 1 (TOCR) TOCR FTIOA0 1 TOA0 = 1 FTIOB0 1 TOB0 = 1 55

56 5.4.5 Z 1 Z DC DC 5-2. RC 5-3. DC scanf DC 5-4. RC scanf RC DC RC USB DC RC DC RC 56

57 (QRB1134) Vstone QRB QRB1134 LED 6.1 LED 5 LED 38[KHz] (WRC-003) Vcc 100 CN 4~7 Anode(Orange) Cathode(Green) QRB1134 E H8/36064 PB0/AN0(CN4) PB1/AN1(CN5) GND 33k Emitter(Blur) Collector(White) S Reflective Surface PB2/AN2(CN6) PB3/AN3(CN7). 6.1: 5 57

58 Vin Time Vin ADC 最上位ビット MSB LSB 最下位ビット Time GND. 6.2: A/D QRB1134 (QRB1134.pdf) A/D A/D AD ADC 6.2 A/D 6.2 Vin A/D 4 A/D H8/36064 A/D H8/36064 A/D 10 8 (PB0/AN0 PB7/AN7 8 ) 5[ sec] 6 1 A/D A/D A/D A/D A/D 6 3.5[ sec] 58

59 6.2.4 A/D A/D 4 (ADDRA, ADDRB, ADDRC, ADDRD) 16 (unsigned int) H8/36064 A/D (C >> 4 ) A/D 10 A/D A/D 1. ADCSR SCAN 0 2. A/D ( ) ADCSR CH 3. A/D ADCSR ADST 1 4. A/D ADCSR ADST 1 5. A/D ADDRA, ADDRB, ADDRC ADDRD A/D 6. Table 6.11: A/D Table 6.12: A/D B 15 B 14 B 13 B 12 B 11 B 10 B 9 B 8 B 7 B 6 B 5 B 4 B 3 B 2 B 1 B 0 A/D b 9 b 8 b 7 b 6 b 5 b 4 b 3 b 2 b 1 b

60 A/D H (rjj09b0049 h pdf) A/D A/D A/D A/D A/D 1. ADCSR SCAN 0 2. A/D ( ) ADCSR CH 3. A/D ADCSR ADST 1 4. A/D A/D A/D A/D ADCSR ADST 0 A/D A/D H (rjj09b0049 h pdf) ( ) LED LED 2 2 A /B 6.5 ( ) 60

61 ªªª ªªª ªªªª. 6.3: v ( 6.4: v ªª ªª ªª ªª ª ª ªª. 6.5: A /B 1/4 A B Low(0) A B High(1) ,2,4 ( ) 6.5 A (EC202A100A) [deg] 6.5 A A B A 1 3.6[deg] A [deg] A B 4 61

62 Table 6.13: A /B 1,2,4 A High(1) Low(0) High(1) Low(0) B High(1) Low(0) High(1) Low(0) (-) (+) (+) (-) (+) (-) (-) (+) [deg] , A B 1/4 A B 4 (H8/36064) 4 (H8/36064) (H8/36064) (H8/36064) (WRC-003) (H8/36064) (WRC-003) (H8/36064) P14/IRQ0, P15/IRQ1, P16/IRQ2, P17/IRQ3, P50/WKP0, P51/WKP1, P52/WKP2, P53/WKP3, P54/WKP4, P55/WKP NMI 1 62

63 H8/36064 P50/WKP0 P51/WKP1 Rotary encoder A 相 H8/36064 P50/WKP0 P51/WKP1 P70 Rotary encoder1 A 相 B 相 Rotary P52/WKP2 P53/WKP3 B 相 P52/WKP2 P53/WKP3 P71 encoder2 A 相 B 相. 6.6: : 2 2 IRQ(P14,P15,P16, P17) (WRC-003) P55/WKP5 (WRC-003) P50/WKP0, P51/WKP1, P52/WKP2, P53/WKP3, P54/WKP4 5 5 CN10 CN A B (H8/36064) A A P50/WKP0 P51/WKP1 2 P50/WKP0 P51/WKP1 B P52/WKP2 P53/WKP A 2 A B (High Low ) B B

64 MonitorIntprg.c / / / global variables / / / unsigned int genccnt1; unsigned int genccnt2; / / / interrupt functions / / / void INT WKP(void) { / 1 / if( IWPR.BIT.IWPF0 == 1 ){ / A / IWPR.BIT.IWPF0 = 0; / / if( IO.PDR7.BIT.B0 == 0 ){ genccnt1++; / / }else{ genccnt1 ; / / } } if( IWPR.BIT.IWPF1 == 1 ){ / A / IWPR.BIT.IWPF1 = 0; / / if( IO.PDR7.BIT.B0 == 0 ){ genccnt1++; / / }else{ genccnt1 ; / / } } } / 2 / if( IWPR.BIT.IWPF2 == 1 ){ / A / IWPR.BIT.IWPF2 = 0; / / if( IO.PDR7.BIT.B1 == 0 ){ genccnt2++; / / }else{ genccnt2 ; / / } } if( IWPR.BIT.IWPF3 == 1 ){ / A / IWPR.BIT.IWPF3 = 0; / / if( IO.PDR7.BIT.B1 == 0 ){ genccnt2++; / / }else{ genccnt2 ; / / } } 64

65 MonitorSample.c / / / external variables / / / extern unsigned int genccnt1; / / extern unsigned int genccnt2; / / / / / main function / / / void main(void) { set imask ccr(1); / / genccnt1 = 0; / / genccnt2 = 0; / / IO.PCR7 &= 0xFC; / P70 P71 / IEGR2.BIT.WPEG0 = 1; / WKP0: / IEGR2.BIT.WPEG1 = 0; / WKP1: / IEGR2.BIT.WPEG2 = 1; / WKP2: / IEGR2.BIT.WPEG3 = 0; / WKP2: / IWPR.BIT.IWPF0 = 0; / WKP0 / IWPR.BIT.IWPF1 = 0; / WKP1 / IWPR.BIT.IWPF2 = 0; / WKP2 / IWPR.BIT.IWPF3 = 0; / WKP3 / IENR1.BIT.IENWP = 1; / WKP0 WKP5 / set imask ccr(0); / / } for(;;){} / / 65

66 printf 6-2. printf 6-3. LED 6-4. A LED1 B LED2 printf DC 6-6. DC

67 A iodefine.h / / / / / FILE :iodefine.h / / DATE :Thu, May 14, 2009 / / DESCRIPTION :Definition of I/O Register / / CPU TYPE :H8/36064 / / / / This file is generated by Renesas Project Generator (Ver.4.9). / / / / / #ifndef IODEFINE #define IODEFINE / / / H8/36064 Series Include File Ver 2.0 / / / struct st tz0 { / struct TZ0 / union { / TCR / unsigned char CCLR:3; / CCLR / unsigned char CKEG:2; / CKEG / unsigned char TPSC:3; / TPSC / } TCR; / / union { / TIORA / unsigned char :1; / / unsigned char IOB:3; / IOB / unsigned char :1; / / unsigned char IOA:3; / IOA / } TIORA; / / union { / TIORC / unsigned char :1; / / unsigned char IOD:3; / IOD / unsigned char :1; / / unsigned char IOC:3; / IOC / } TIORC; / / union { / TSR / unsigned char :3; / / unsigned char OVF :1; / OVF / unsigned char IMFD:1; / IMFD / unsigned char IMFC:1; / IMFC / unsigned char IMFB:1; / IMFB / unsigned char IMFA:1; / IMFA / } TSR; / / union { / TIER / unsigned char :3; / / unsigned char OVIE :1; / OVIE / unsigned char IMIED:1; / IMIED / unsigned char IMIEC:1; / IMIEC / unsigned char IMIEB:1; / IMIEB / unsigned char IMIEA:1; / IMIEA / } TIER; / / union { / POCR / unsigned char :5; / / unsigned char POLD:1; / POLD / unsigned char POLC:1; / POLC / unsigned char POLB:1; / POLB / } POCR; / / unsigned int TCNT; / TCNT / unsigned int GRA; / GRA / unsigned int GRB; / GRB / unsigned int GRC; / GRC / unsigned int GRD; / GRD / }; / / struct st tz1 { / struct TZ1 / union { / TCR / unsigned char CCLR:3; / CCLR / 67

68 unsigned char CKEG:2; / CKEG / unsigned char TPSC:3; / TPSC / } TCR; / / union { / TIORA / unsigned char :1; / / unsigned char IOB:3; / IOB / unsigned char :1; / / unsigned char IOA:3; / IOA / } TIORA; / / union { / TIORC / unsigned char :1; / / unsigned char IOD:3; / IOD / unsigned char :1; / / unsigned char IOC:3; / IOC / } TIORC; / / union { / TSR / unsigned char :2; / / unsigned char UDF :1; / UDF / unsigned char OVF :1; / OVF / unsigned char IMFD:1; / IMFD / unsigned char IMFC:1; / IMFC / unsigned char IMFB:1; / IMFB / unsigned char IMFA:1; / IMFA / } TSR; / / union { / TIER / unsigned char :3; / / unsigned char OVIE :1; / OVIE / unsigned char IMIED:1; / IMIED / unsigned char IMIEC:1; / IMIEC / unsigned char IMIEB:1; / IMIEB / unsigned char IMIEA:1; / IMIEA / } TIER; / / union { / TOCR / unsigned char :5; / / unsigned char POLD:1; / POLD / unsigned char POLC:1; / POLC / unsigned char POLB:1; / POLB / } POCR; / / unsigned int TCNT; / TCNT / unsigned int GRA; / GRA / unsigned int GRB; / GRB / unsigned int GRC; / GRC / unsigned int GRD; / GRD / }; / / struct st tz { / struct TZ / union { / TSTR / unsigned char :6; / / unsigned char STR1:1; / STR1 / unsigned char STR0:1; / STR0 / } TSTR; / / union { / TMDR / unsigned char BFD1:1; / BFD1 / unsigned char BFC1:1; / BFC1 / unsigned char BFD0:1; / BFD0 / unsigned char BFC0:1; / BFC0 / unsigned char :3; / / unsigned char SYNC:1; / SYNC / } TMDR; / / union { / TPMR / unsigned char :1; / / unsigned char PWMD1:1; / PWMD1 / unsigned char PWMC1:1; / PWMC1 / unsigned char PWMB1:1; / PWMB1 / unsigned char :1; / / unsigned char PWMD0:1; / PWMD0 / unsigned char PWMC0:1; / PWMC0 / unsigned char PWMB0:1; / PWMB0 / 68

69 } TPMR; / / union { / TFCR / unsigned char :1; / / unsigned char STCLK:1; / STCLK / unsigned char ADEG :1; / ADEG / unsigned char ADTRG:1; / ADTRG / unsigned char OLS1 :1; / OLS1 / unsigned char OLS0 :1; / OLS0 / unsigned char CMD :2; / CMD / } TFCR; / / union { / TOER / unsigned char ED1:1; / ED1 / unsigned char EC1:1; / EC1 / unsigned char EB1:1; / EB1 / unsigned char EA1:1; / EA1 / unsigned char ED0:1; / ED0 / unsigned char EC0:1; / EC0 / unsigned char EB0:1; / EB0 / unsigned char EA0:1; / EA0 / } TOER; / / union { / TOCR / unsigned char TOD1:1; / TOD1 / unsigned char TOC1:1; / TOC1 / unsigned char TOB1:1; / TOB1 / unsigned char TOA1:1; / TOA1 / unsigned char TOD0:1; / TOD0 / unsigned char TOC0:1; / TOC0 / unsigned char TOB0:1; / TOB0 / unsigned char TOA0:1; / TOA0 / } TOCR; / / }; / / struct st lvd { / struct LVD / union { / LVDCR / unsigned char LVDE :1; / LVDE / unsigned char :3; / / unsigned char LVDSEL:1; / LVDSEL / unsigned char LVDRE :1; / LVDRE / unsigned char LVDDE :1; / LVDDE / unsigned char LVDUE :1; / LVDUE / } CR; / / union { / LVDSR / unsigned char :6; / / unsigned char LVDDF:1; / LVDDF / unsigned char LVDUF:1; / LVDUF / } SR; / / }; / / struct st sci3 { / struct SCI3 / union { / SMR / unsigned char COM :1; / COM / unsigned char CHR :1; / CHR / unsigned char PE :1; / PE / unsigned char PM :1; / PM / unsigned char STOP:1; / STOP / unsigned char MP :1; / MP / unsigned char CKS :2; / CKS / } SMR; / / unsigned char BRR; / BRR / union { / SCR3 / unsigned char TIE :1; / TIE / unsigned char RIE :1; / RIE / unsigned char TE :1; / TE / unsigned char RE :1; / RE / unsigned char MPIE:1; / MPIE / unsigned char TEIE:1; / TEIE / unsigned char CKE :2; / CKE / } SCR3; / / unsigned char TDR; / TDR / union { / SSR / 69

70 unsigned char TDRE:1; / TDRE / unsigned char RDRF:1; / RDRF / unsigned char OER :1; / OER / unsigned char FER :1; / FER / unsigned char PER :1; / PER / unsigned char TEND:1; / TEND / unsigned char MPBR:1; / MPBR / unsigned char MPBT:1; / MPBT / } SSR; / / unsigned char RDR; / RDR / }; / / struct st iic2 { / struct IIC2 / union { / ICCR1 / unsigned char ICE :1; / ICE / unsigned char RCVD:1; / RCVD / unsigned char MST :1; / MST / unsigned char TRS :1; / TRS / unsigned char CKS :4; / CKS / } ICCR1; / / union { / ICCR2 / unsigned char BBSY :1; / BBSY / unsigned char SCP :1; / SCP / unsigned char SDAO :1; / SDAO / unsigned char SDAOP :1; / SDAOP / unsigned char SCLO :1; / SCLO / unsigned char :1; / / unsigned char IICRST:1; / IICRST / } ICCR2; / / union { / ICMR / unsigned char MLS :1; / MLS / unsigned char WAIT:1; / WAIT / unsigned char :2; / / unsigned char BCWP:1; / BCWP / unsigned char BC :3; / BC / } ICMR; / / union { / ICIER / unsigned char TIE :1; / TIE / unsigned char TEIE :1; / TEIE / unsigned char RIE :1; / RIE / unsigned char NAKIE:1; / NAKIE / unsigned char STIE :1; / STIE / unsigned char ACKE :1; / ACKE / unsigned char ACKBR:1; / ACKBR / unsigned char ACKBT:1; / ACKBT / } ICIER; / / union { / ICSR / unsigned char TDRE :1; / TDRE / unsigned char TEND :1; / TEND / unsigned char RDRF :1; / RDRF / unsigned char NACKF:1; / NACKF / unsigned char STOP :1; / STOP / unsigned char ALOVE:1; / ALOVE / unsigned char AAS :1; / AAS / unsigned char ADZ :1; / ADZ / } ICSR; / / union { / SAR / unsigned char SVA:7; / SVA / unsigned char FS :1; / FS / } SAR; / / unsigned char ICDRT; / ICDRT / unsigned char ICDRR; / ICDRR / }; / / struct st tb1 { / struct TB1 / union { / TMB1 / unsigned char RLD:1; / RLD / unsigned char :4; / / unsigned char CKS:3; / CKS / } TMB1; / / 70

71 unsigned char TCB1; / TCB1 / }; / / struct st flash { / struct FLASH / union { / FLMCR1 / unsigned char :1; / / unsigned char SWE:1; / SWE / unsigned char ESU:1; / ESU / unsigned char PSU:1; / PSU / unsigned char EV :1; / EV / unsigned char PV :1; / PV / unsigned char E :1; / E / unsigned char P :1; / P / } FLMCR1; / / union { / FLMCR2 / unsigned char FLER:1; / FLER / } FLMCR2; / / char wk1; / / union { / EBR1 / unsigned char :3; / / unsigned char EB4:1; / EB4 / unsigned char EB3:1; / EB3 / unsigned char EB2:1; / EB2 / unsigned char EB1:1; / EB1 / unsigned char EB0:1; / EB0 / } EBR1; / / char wk2[7]; / / union { / FENR / unsigned char FLSHE:1; / FLSHE / } FENR; / / }; / / struct st tv { / struct TV / union { / TCRV0 / unsigned char CMIEB:1; / CMIEB / unsigned char CMIEA:1; / CMIEA / unsigned char OVIE :1; / OVIE / unsigned char CCLR :2; / CCLR / unsigned char CKS :3; / CKS / } TCRV0; / / union { / TCSRV / unsigned char CMFB:1; / CMFB / unsigned char CMFA:1; / CMFA / unsigned char OVF :1; / OVF / unsigned char :1; / / unsigned char OS :4; / OS / } TCSRV; / / unsigned char TCORA; / TCORA / unsigned char TCORB; / TCORB / unsigned char TCNTV; / TCNT / union { / TCRV1 / unsigned char :3; / / unsigned char TVEG:2; / TVEG / unsigned char TRGE:1; / TRGE / unsigned char :1; / / unsigned char ICKS:1; / ICKS / } TCRV1; / / }; / / struct st ad { / struct A/D / unsigned int ADDRA; / ADDRA / unsigned int ADDRB; / ADDRB / unsigned int ADDRC; / ADDRC / unsigned int ADDRD; / ADDRD / union { / ADCSR / unsigned char ADF :1; / ADF / unsigned char ADIE:1; / ADIE / unsigned char ADST:1; / ADST / unsigned char SCAN:1; / SCAN / unsigned char CKS :1; / CKS / unsigned char CH :3; / CH / 71

72 } ADCSR; / / union { / ADCR / unsigned char TRGE:1; / TRGE / } ADCR; / / }; / / struct st pwm { / struct PWM / unsigned char PWDRL; / PWDRL / unsigned char PWDRU; / PWDRU / union { / PWCR / unsigned char :7; / / unsigned char CKS:1; / CKS / } PWCR; / / }; / / struct st wdt { / struct WDT / union { / TCSRWD / unsigned char B6WI :1; / B6WI / unsigned char TCWE :1; / TCWE / unsigned char B4WI :1; / B4WI / unsigned char TCSRWE:1; / TCSRWE / unsigned char B2WI :1; / B2WI / unsigned char WDON :1; / WDON / unsigned char B0WI :1; / B0WI / unsigned char WRST :1; / WRST / } TCSRWD; / / unsigned char TCWD; / TCWD / union { / TMWD / unsigned char :4; / / unsigned char CKS:4; / CKS / } TMWD; / / }; / / struct st abrk { / struct ABRK / union { / ABRKCR / unsigned char RTINTE:1; / RTINTE / unsigned char CSEL :2; / CSEL / unsigned char ACMP :3; / ACMP / unsigned char DCMP :2; / DCMP / } CR; / / union { / ABRKSR / unsigned char ABIF:1; / ABIF / unsigned char ABIE:1; / ABIE / } SR; / / void BAR; / BAR / unsigned int BDR; / BDR / }; / / struct st io { / struct IO / union { / PUCR1 / unsigned char B7:1; / Bit 7 / unsigned char B6:1; / Bit 6 / unsigned char B5:1; / Bit 5 / unsigned char B4:1; / Bit 4 / unsigned char :1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PUCR1; / / union { / PUCR5 / unsigned char :2; / Bit 7,6 / unsigned char B5:1; / Bit 5 / unsigned char B4:1; / Bit 4 / unsigned char B3:1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PUCR5; / / char wk1[2]; / / union { / PDR1 / 72

73 unsigned char B7:1; / Bit 7 / unsigned char B6:1; / Bit 6 / unsigned char B5:1; / Bit 5 / unsigned char B4:1; / Bit 4 / unsigned char :1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PDR1; / / union { / PDR2 / unsigned char :3; / Bit 7-5 / unsigned char B4:1; / Bit 4 / unsigned char B3:1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PDR2; / / union { / PDR3 / unsigned char B7:1; / Bit 7 / unsigned char B6:1; / Bit 6 / unsigned char B5:1; / Bit 5 / unsigned char B4:1; / Bit 4 / unsigned char B3:1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PDR3; / / char wk2; / / union { / PDR5 / unsigned char B7:1; / Bit 7 / unsigned char B6:1; / Bit 6 / unsigned char B5:1; / Bit 5 / unsigned char B4:1; / Bit 4 / unsigned char B3:1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PDR5; / / union { / PDR6 / unsigned char B7:1; / Bit 7 / unsigned char B6:1; / Bit 6 / unsigned char B5:1; / Bit 5 / unsigned char B4:1; / Bit 4 / unsigned char B3:1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PDR6; / / union { / PDR7 / unsigned char :1; / / unsigned char B6:1; / Bit 6 / unsigned char B5:1; / Bit 5 / unsigned char B4:1; / Bit 4 / unsigned char :1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PDR7; / / union { / PDR8 / unsigned char B7:1; / Bit 7 / unsigned char B6:1; / Bit 6 / unsigned char B5:1; / Bit 5 / } PDR8; / / char wk3; / / union { / PDRB / unsigned char B7:1; / Bit 7 / unsigned char B6:1; / Bit 6 / unsigned char B5:1; / Bit 5 / 73

74 unsigned char B4:1; / Bit 4 / unsigned char B3:1; / Bit 3 / unsigned char B2:1; / Bit 2 / unsigned char B1:1; / Bit 1 / unsigned char B0:1; / Bit 0 / } PDRB; / / char wk4[2]; / / union { / PMR1 / unsigned char IRQ3:1; / IRQ3 / unsigned char IRQ2:1; / IRQ2 / unsigned char IRQ1:1; / IRQ1 / unsigned char IRQ0:1; / IRQ0 / unsigned char TXD2:1; / TXD2 / unsigned char PWM:1; / PMW / unsigned char TXD :1; / TXD / } PMR1; / / union { / PMR5 / unsigned char :2; / / unsigned char WKP5:1; / WKP5 / unsigned char WKP4:1; / WKP4 / unsigned char WKP3:1; / WKP3 / unsigned char WKP2:1; / WKP2 / unsigned char WKP1:1; / WKP1 / unsigned char WKP0:1; / WKP0 / } PMR5; / / union { / PMR3 / unsigned char :3; / / unsigned char POF4:1; / POF4 / unsigned char POF3:1; / POF3 / } PMR3; / / char wk5; / / unsigned char PCR1; / PCR1 / unsigned char PCR2; / PCR2 / unsigned char PCR3; / PCR3 / char wk6; / / unsigned char PCR5; / PCR5 / unsigned char PCR6; / PCR6 / unsigned char PCR7; / PCR7 / unsigned char PCR8; / PCR8 / }; / / union un syscr1 { / union SYSCR1 / unsigned char SSBY :1; / SSBY / unsigned char STS :3; / STS / }; / / union un syscr2 { / union SYSCR2 / unsigned char SMSEL:1; / SMSEL / unsigned char :1; / / unsigned char DTON :1; / DTON / unsigned char MA :3; / MA / }; / / union un iegr1 { / union IEGR1 / unsigned char NMIEG:1; / NMIEG / unsigned char :3; / / unsigned char IEG3 :1; / IEG3 / unsigned char IEG2 :1; / IEG2 / unsigned char IEG1 :1; / IEG1 / unsigned char IEG0 :1; / IEG0 / }; / / union un iegr2 { / union IEGR2 / unsigned char :2; / / unsigned char WPEG5:1; / WPEG5 / unsigned char WPEG4:1; / WPEG4 / unsigned char WPEG3:1; / WPEG3 / unsigned char WPEG2:1; / WPEG2 / unsigned char WPEG1:1; / WPEG1 / unsigned char WPEG0:1; / WPEG0 / }; / / union un ienr1 { / union IENR1 / 74

75 unsigned char IENDT:1; / IENDT / unsigned char :1; / / unsigned char IENWP:1; / IENWP / unsigned char :1; / / unsigned char IEN3 :1; / IEN3 / unsigned char IEN2 :1; / IEN2 / unsigned char IEN1 :1; / IEN1 / unsigned char IEN0 :1; / IEN0 / }; / / union un ienr2 { / union IENR2 / unsigned char :2; / / unsigned char IENTB1:1; / IENTB1 / }; / / union un irr1 { / union IRR1 / unsigned char IRRDT:1; / IRRDT / unsigned char :3; / / unsigned char IRRI3:1; / IRRI3 / unsigned char IRRI2:1; / IRRI2 / unsigned char IRRI1:1; / IRRI1 / unsigned char IRRI0:1; / IRRI0 / }; / / union un irr2 { / union IRR2 / unsigned char :2; / / unsigned char IRRTB1:1; / IRRTB1 / }; / / union un iwpr { / union IWPR / unsigned char :2; / / unsigned char IWPF5:1; / IWPF5 / unsigned char IWPF4:1; / IWPF4 / unsigned char IWPF3:1; / IWPF3 / unsigned char IWPF2:1; / IWPF2 / unsigned char IWPF1:1; / IWPF1 / unsigned char IWPF0:1; / IWPF0 / }; / / union un mstcr1 { / union MSTCR1 / unsigned char :1; / / unsigned char MSTIIC:1; / MSTIIC / unsigned char MSTS3 :1; / MSTS3 / unsigned char MSTAD :1; / MSTAD / unsigned char MSTWD :1; / MSTWD / unsigned char :1; / / unsigned char MSTTV :1; / MSTTV / }; / / union un mstcr2 { / union MSTCR2 / unsigned char MSTS3 2:1; / MSTS3 2 / unsigned char :2; / / unsigned char MSTTB1 :1; / MSTTB1 / unsigned char :2; / / unsigned char MSTTZ :1; / MSTTZ / unsigned char MSTPWM :1; / MSTPWM / }; / / #define TZ0 ( (volatile struct st tz0 )0xF700) / TZ0 Address / #define TZ1 ( (volatile struct st tz1 )0xF710) / TZ1 Address / #define TZ ( (volatile struct st tz )0xF720) / TZ Address / #define LVD ( (volatile struct st lvd )0xF730) / LVD Address / #define SCI3 2 ( (volatile struct st sci3 )0xF740) / SCI3 2Address / #define IIC2 ( (volatile struct st iic2 )0xF748) / IIC2 Address / #define TB1 ( (volatile struct st tb1 )0xF760) / TB1 Address / #define FLASH ( (volatile struct st flash )0xFF90) / FLASH Address / #define TV ( (volatile struct st tv )0xFFA0) / TV Address / #define SCI3 ( (volatile struct st sci3 )0xFFA8) / SCI3 Address / #define AD ( (volatile struct st ad )0xFFB0) / A/D Address / #define PWM ( (volatile struct st pwm )0xFFBC) / PWM Address / #define WDT ( (volatile struct st wdt )0xFFC0) / WDT Address / #define ABRK ( (volatile struct st abrk )0xFFC8) / ABRK Address / #define IO ( (volatile struct st io )0xFFD0) / IO Address / #define SYSCR1 ( (volatile union un syscr1 )0xFFF0) / SYSCR1Address / #define SYSCR2 ( (volatile union un syscr2 )0xFFF1) / SYSCR2Address / #define IEGR1 ( (volatile union un iegr1 )0xFFF2) / IEGR1 Address / #define IEGR2 ( (volatile union un iegr2 )0xFFF3) / IEGR2 Address / #define IENR1 ( (volatile union un ienr1 )0xFFF4) / IENR1 Address / 75

76 #define IENR2 ( (volatile union un ienr2 )0xFFF5) / IENR2 Address / #define IRR1 ( (volatile union un irr1 )0xFFF6) / IRR1 Address / #define IRR2 ( (volatile union un irr2 )0xFFF7) / IRR2 Address / #define IWPR ( (volatile union un iwpr )0xFFF8) / IWPR Address / #define MSTCR1 ( (volatile union un mstcr1 )0xFFF9) / MSTCR1Address / #define MSTCR2 ( (volatile union un mstcr2 )0xFFFA) / MSTCR2Address / #define TLB1 TCB1 / Change TLB1 > TCB1 / #endif 76

MechLab.dvi

MechLab.dvi Rev. 1.11, Jan. 2010 mtanaka@ctrl.titech.ac.jp torii@ctrl.titech.ac.jp 0 5 0.1 3 310 : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : 5 0.2 : : : : : : : : : : : : : : : : : : : : : : : : :

More information

main01a.dvi

main01a.dvi Tutorial1A Tutorial1A TA 23 10 13 1. 1A 2 H8/36064 Vstone (VS-WRC003 TA RAM ROM 1B ROM (http://www.ac.ctrl.titech.ac.jp/ss2 2011/index.html 2. H8 High-performance Embedded Workshop (HEW HTerm ROM Flash

More information

( ) 2 3 A/D 1. Cygwin gcc make wget ( : ) 2. ( :h8300-elf Makefile)

( ) 2 3 A/D 1. Cygwin gcc make wget ( : ) 2. ( :h8300-elf Makefile) 6 2006 10 15 1 1 ( ) 2 3 A/D 1. Cygwin gcc make wget ( : ) 2. ( :h8300-elf Makefile) 2006 8 3 74VHC32 H8/3694 RADI-CON Reciever S + G Ch.1 Ch.3 Ch.5 OR1 OR2 FTIOA (P81) Ch.2 Ch.4 OR3 FTIOB (P82) Ch.6 OR4

More information

(push-button switch) (push-button) (rocker switch) ONOFF ONOFF (toggle switch) () (slide switch) ONOFF () 7.1 外観 内部の端子接続 図の縦方向がつながっている 操作部 ( プ

(push-button switch) (push-button) (rocker switch) ONOFF ONOFF (toggle switch) () (slide switch) ONOFF () 7.1 外観 内部の端子接続 図の縦方向がつながっている 操作部 ( プ 165 7 7.1 7.1.1 ON OFF (tactile switch) (tactile switch) () ON OFF (DIP switch) DIP() () () 7.1. 7. (push-button switch) (push-button) (rocker switch) ONOFF ONOFF (toggle switch) () (slide switch) ONOFF

More information

H8/3687グループ ハードウェアマニュアル

H8/3687グループ ハードウェアマニュアル 6 H8/3687 グループハードウェアマニュアル ルネサス 6 ビットシングルチップマイクロコンピュータ H8 ファミリ /H8/3H Tiny シリーズ Rev.5. 発行 :5 年 9 月 9 日 H8/3687N HD6483687G HD64N3687G H8/3687F HD64F3687 HD64F3687G H8/3687 HD6433687 HD6433687G H8/3686 HD6433686

More information

11.1. (RS-232C) 11. RS-232(Recommended Standard 232) RS-232C EIA-232-D/E TIA(Telecommunications Industry Association) ANSI/TIA/EIA-232-F-1997 USB 11.1

11.1. (RS-232C) 11. RS-232(Recommended Standard 232) RS-232C EIA-232-D/E TIA(Telecommunications Industry Association) ANSI/TIA/EIA-232-F-1997 USB 11.1 255 11 11.1 (RS-232C) C printf LED RS232C ( ) RS-232C 11.1.1 1 1 RS-232 RS-422 RS-485 USB(Universal Serial Bus) 11.1. (RS-232C) 11. RS-232(Recommended Standard 232) RS-232C EIA-232-D/E TIA(Telecommunications

More information

I2C2 シングルマスタ受信 (I2C バスEEPROM のリード)

I2C2 シングルマスタ受信 (I2C バスEEPROM のリード) お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

マイコンプログラミング講座

マイコンプログラミング講座 マイコンプログラミング講座 明治大学エレクトロニクス研究部武山文信 2008/03/04 & 2008/03/11 マイコンプログラミング講座 1 はじめに 回路ができてもプログラムが書けなければ意味がないソフトゼミで触れた部分は ソフトゼミの資料を見直すなどしてちょっと難しめに書いた気がするけど そこは口頭で補足ハードウェアマニュアル嫁ググれ 2008/03/04 & 2008/03/11 マイコンプログラミング講座

More information

GCC Developer Lite

GCC Developer Lite 1. GCC Developer Lite GNU GNU Linux GNU Microsoft Windows GNU Windows GCC Developer Lite GCC Developer Lite GNU Windows C Windows (H8 SH ) 2. 2-1. GNU GPL(GNU ) GNUTOOL GNU GPL GPL CD-R 2-2. GNUTOOL for

More information

IICシングルマスタ送受信制御例 (EEPROM ライト・リード)

IICシングルマスタ送受信制御例 (EEPROM ライト・リード) SH7730 RJJ06B1057-0100 Rev.1.00 SH7730 I 2 C (IIC) EEPROM SH7730 1.... 2 2. I 2 C... 4 3. EEPROM... 10 4.... 16 5.... 59 6.... 97 7.... 98 RJJ06B1057-0100 Rev.1.00 Page 1 of 100 1. 1.1 SH7730 EEPROM EEPROM

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

アプリケーションノート AS-E402サンプルプログラム

アプリケーションノート AS-E402サンプルプログラム GR-SAKURA 用拡張ボード AS-E402 サンプルプログラム アプリケーションノート 1. 概要 GR-SAKURA AS-E402 CubeSuite+ 2. 用意するもの AS-E402 GR-SAKURA E1 DC GR-SAKURA AC E1 CubeSuite+ V2.01.00 3. サンプルプログラムの動作 AS-E402 LED SW1 LED1 SW2 LED2 LED3

More information

1 1 TA, ,9 1. ( 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test)

1 1 TA, ,9 1. (  2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) 1 1 TA, 20 10 6,9 1. (http://www.cyb.mei.titech.ac.jp/2008ss2/main.htm) 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) H src c startup ncrt0 ss2.a30 sect30 ss2.inc 1 1 /

More information

c H8 H (TSTR) (TSNC) (TMDR) (TOLR) A (TISRA) B (TISRB) C (TISRC) (16TCRn) I/O (TIORn) (16TCNTn) A (GTAn) B (GRBn) 1 16TCNTn 16 CPU GRAn

c H8 H (TSTR) (TSNC) (TMDR) (TOLR) A (TISRA) B (TISRB) C (TISRC) (16TCRn) I/O (TIORn) (16TCNTn) A (GTAn) B (GRBn) 1 16TCNTn 16 CPU GRAn 2014/7/1 1 1 1: 1 TIMER : PUSH.L ER6 2 MOV.L #D 3125, ER6 ;1ms timer 3 TIMER1 : NOP 4 DEC.L #1, ER6 ; E R 6 1 5 BNE TIMER1 ; E R 6 0 6 POP.L ER6 7 RTS 1 φ φ/2 n n 1: 1 c 2014 2 2 H8 H8 3 16 (TSTR) (TSNC)

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

thesis.dvi

thesis.dvi H8 e041220 2009 2 Copyright c 2009 by Kentarou Nagashima c 2009 Kentarou Nagashima All rights reserved , H8.,,,..,.,., AKI-H8/3052LAN. OS. OS H8 Write Turbo. H8 C, Cygwin.,., windows. UDP., (TA7279P).,.

More information

目次 1 はじめに 仕様 ご注意 本体外観 各部詳細 この章の見方 通信コネクタ (CN1) DC モータ出力 (CN3,4) LED ブザー出力...

目次 1 はじめに 仕様 ご注意 本体外観 各部詳細 この章の見方 通信コネクタ (CN1) DC モータ出力 (CN3,4) LED ブザー出力... H8 搭載学習用 CPU ボード VS-WRC003LV 取扱説明書 ヴイストン株式会社 ( 2012.05.02 ) 目次 1 はじめに... 3 2 仕様... 3 3 ご注意... 4 4 本体外観... 4 5 各部詳細... 5 5-1 この章の見方... 5 5-2 通信コネクタ (CN1)... 6 5-3 DC モータ出力 (CN3,4)... 6 5-4 LED... 7 5-5

More information

Arduino UNO IS Report No. Report Medical Information System Laboratory

Arduino UNO IS Report No. Report Medical Information System Laboratory Arduino UNO 2015 2 25 IS Report No. Report Medical Information System Laboratory Abstract ( ) Arduino / Arduino Bluetooth Bluetooth : Arduino Arduino UNO Arduino IDE micro computer LED 1............................

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

10ビットPWM機能によるデューティパルス出力

10ビットPWM機能によるデューティパルス出力 お 客 様 各 位 カタログ 等 資 料 中 の 旧 社 名 の 扱 いについて 2010 年 4 月 1 日 を 以 ってNECエレクトロニクス 株 式 会 社 及 び 株 式 会 社 ルネサステクノロジ が 合 併 し 両 社 の 全 ての 事 業 が 当 社 に 承 継 されております 従 いまして 本 資 料 中 には 旧 社 名 での 表 記 が 残 っておりますが 当 社 の 資 料 として

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

CPU VS-RC003 RobovieMaker for VS-RC003

CPU VS-RC003 RobovieMaker for VS-RC003 CPU VS-RC003 RobovieMaker for VS-RC003 2 1. 4 1-1. 4 1-2.CPU 5 1-3.CPU PC 7 2. 9 2-1.PC 9 2-2. 11 2-2-1. 11 2-2-2. 13 2-2-3. 15 3. 16 3-1. 16 3-1-1. 17 3-2. 18 3-2-1.CPU 18 3-2-2. 19 3-2-3. CPU 21 3-3.

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

jikken.dvi

jikken.dvi I 1 ROM( ) RAM 1 2 2 ( 1) H8-Tiny H8/3672 HD64F3672FP 16 CPU ( 16MHz) ROM 16KB, RAM 2048 2KB AD LED 1(c) ( A ) 赤外 LED 前 CdS セル LED 表示 RS232C 端子 (PC との接続端子 ) センサ類 ラインセンサ x3 (LED+CdS セル ) モータドライバ ターゲット Start

More information

RX62N グループ SCI を使ったクロック同期式シングルマスタ制御ソフトウェア

RX62N グループ SCI を使ったクロック同期式シングルマスタ制御ソフトウェア RX62N SCI R01AN1088JJ0101 Rev.1.01 RX62N SCI SPI SPI MCU RX62N R1EX25xxx SPI Serial EEPROM Micron Technology M25P Serial Flash memory 64Mbit Micron Technology M45PE Serial Flash memory 1Mbit 1.... 2 2....

More information

マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1

マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1 マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1 入出力ポートAD 変換器 アナログ光センサデジタル入出力ポートマイコンボード マイコンとノート PC の役割 タイマー プログラム メモリ ( アナログ入力をデジタル信号へ変換

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

Microsoft Word - 本文.doc

Microsoft Word - 本文.doc - 内蔵コンパイラ ForCy で学ぶ - 組み込みプログラミング ForCy USB 2.0 初版 2007/08/03 第 2 版 2009/02/03 有限会社リカージョン - 内蔵コンパイラ ForCy で学ぶ - 組み込みプログラミング FORTH C + 4 / :ForCy i ForCy-USB ForCy-USB USB ForCy C - 2 - ForCy-USB Atmel

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

RX開発環境移行ガイド V850からRXへの移行(コンパイラ編)(CA850/CX→CC-RX)

RX開発環境移行ガイド V850からRXへの移行(コンパイラ編)(CA850/CX→CC-RX) RX 開発環境移行ガイド V850からRXへの移行 ( コンパイラ編 ) (CA850/CX CC-RX) 2017/04/20 R20UT2608JJ0101 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は V850 ファミリ用 C コンパイラ CA850 および CX のプロジェクトを RX ファミリ用 C コン パイラ CC-RX のプロジェクトへ移行する際の

More information

RX600 & RX200シリーズ RX用シンプルフラッシュAPI アプリケーションノート

RX600 & RX200シリーズ RX用シンプルフラッシュAPI アプリケーションノート R01AN0544JU0240 Rev.2.40 RX600 RX200 API MCU API API RX 0xFF 3.10 API RX610 RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 1.... 2 2. API... 3 3.... 11 4.... 16 5. API... 18 6.... 32 R01AN0544JU0240

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 CMT の概要 3 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3

More information

SH7216 グループ USB ファンクションモジュール USB コミュニケーションクラス アプリケーションノート

SH7216 グループ USB ファンクションモジュール USB コミュニケーションクラス アプリケーションノート SH7216 USB USB SH7216 USB USB USB SH7216 R01AN2201JJ0100 Rev.1.00 1.... 2 2.... 3 3. USB... 19 4.... 25 R01AN2201JJ0100 Rev.1.00 Page 1 of 26 SH7216 USB USB 1. SH7216 USB USB USB 1.1 INTC PFC USB USB SCI

More information

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL ブート領域 フラッシュ領域の分割方法 RL78 ファミリ用 C コンパイラ CC-RL 2016 年 10 月 5 日 Rev.2.00 ソフトウエア事業部 ソフトウエア技術部 ルネサスシステムデザイン株式会社 R20UT3475JJ0200 アジェンダ はじめにページ 3 概要ページ 4 ブート領域 フラッシュ領域共通ページ 12 ブート領域ページ 19 フラッシュ領域ページ 38 デバッグツールページ

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

環境設定 をクリックすると以下の FSet 画面が表示されます 読込 をクリックします 各種 CPU 別の設定ファイルが表示されます 2

環境設定 をクリックすると以下の FSet 画面が表示されます 読込 をクリックします 各種 CPU 別の設定ファイルが表示されます 2 Cbar によるプログラム開発方法 Ⅱ Ver1.00 2006.5.5 Ver1.01 2006.11.09 Cbar を使用した H8-3052CPU ボードの開発例 秋月電子通商で販売している AKI-H8/3069FフラッシュマイコンLANボード ARMマイコンボードキット 等を購入すると開発用ソフトウエアが添付しています 統合開発環境 CbarとGCCのCコンパイラ ROMライタソフトとプログラム開発に必要なソフトウエアが同封されています

More information

LIN (Local Interconnect Network) マスタ編

LIN (Local Interconnect Network) マスタ編 お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

untitled

untitled H8/300,H8S,H8SX [H8S,H8/300 Tool Chain Ver6.2.0.0] #define Inline static inline //************************************************** Inline char sil_and_mem(char *mem,char and) return (*((volatile

More information

Microsoft Word - マイコンを用いた信号# doc

Microsoft Word - マイコンを用いた信号# doc 1. C 2. 2.1 1980 Z80 PIC AVR SuperH H8 PICPeripheral Interface Controller 20MHz AD RA2 RA3 RA4 CLR VSS RB0 RB1 RB2 RB3 1 2 3 4 5 6 7 8 9 PIC16F84A-20P 0315025 18 RA1 17 RA0 16 CLK1 15 CLK2 14 VDD 13 RB7

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

joho07-1.ppt

joho07-1.ppt 0xbffffc5c 0xbffffc60 xxxxxxxx xxxxxxxx 00001010 00000000 00000000 00000000 01100011 00000000 00000000 00000000 xxxxxxxx x y 2 func1 func2 double func1(double y) { y = y + 5.0; return y; } double func2(double*

More information

GR-SAKURA-SAのサンプルソフト説明

GR-SAKURA-SAのサンプルソフト説明 フルカラーシリアル LED テープ (1m) を GR-KURUMI で使ってみる 2014/2/25 がじぇっとるねさす鈴木 Rev. 1.00 フルカラーシリアル LED の特徴 http://www.switch-science.com/catalog/1399/ 3570 円 1m で 60 個の LED がついている 電源と信号線 1 本で制御する x 24 この信号を 24 個送信して

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

RXファミリ 多重割り込みの使い方 アプリケーションノート

RXファミリ 多重割り込みの使い方 アプリケーションノート RAN954JJ Rev.. RX.... 2 2.... 4 3.... 4 4.... 5 5.... 6 6.... 4 7.... 4 RAN954JJ Rev.. Page of 5 . IRQ IRQ3 PSW I PSW I I. #pragma interrupt (enable) : RX22 IRQ #pragma interrupt (Excep_IRQm (enable,vect=65))

More information

untitled

untitled ( ) () ( ) 1 1 TX19A31_AG3 TX19A/H1 CPUTMP19A31CYFG AG3 AG3 2 2 3 TX19A31_AG3 CPU 32 RISC TMP19A31CYFG (U1) 80MHz ROM 32Mbit ROM 1 ROM (U8) 16Mbit Flash ROM 1 (U9) RAM 4Mbit SRAM 1 (U10) I/F RS232C 1chSIO

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

3. スイッチ設定 ( 表 3) モジュール SW4 SW5 C1 OFF 下 (GND) C2 OFF 下 (GND) C3 OFF 下 (GND) C4 OFF 下 (GND) C5 OFF 上 (R/-W) C6 ON 下 (GND) 使用するモジュールに応じて, スイッチを切り換えて下さい.

3. スイッチ設定 ( 表 3) モジュール SW4 SW5 C1 OFF 下 (GND) C2 OFF 下 (GND) C3 OFF 下 (GND) C4 OFF 下 (GND) C5 OFF 上 (R/-W) C6 ON 下 (GND) 使用するモジュールに応じて, スイッチを切り換えて下さい. キャラクタ LCD を制御 (C 言語 ) 対象 :16 文字 2 行 (SC1602BS*B) 20 文字 4 行 (SC2004CS*B) 表 1 接続 (Bit) キャラジェネ (CGRAM) 点滅 (BLINK) BUSY フラグ LCD 電源 (ON/OFF) (BF) SC1602_C1 SC2004_C1 8 x x x x SC1602_C2 SC2004_C2 4 x x x x

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

Microsoft PowerPoint - Kozos12step_07_

Microsoft PowerPoint - Kozos12step_07_ 平成 25 年度 第 1 回スキルアップ研修 組込み OS 自作入門 7th ステップ割込み処理を実装する 2013 年 11 月 6 日担当 : 池田亮 第 2 部 OS の作成 残りもあと半分になりました 7thステップ 割込み処理を実装する 8thステップ スレッドを実装する 9thステップ 優先度スケジューリング 10thステップ OSのメモリ管理 11thステップ タスク間通信を実装する

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

Microsoft Word - T-AD16P.doc

Microsoft Word - T-AD16P.doc T-AD16P rev.1.0 取扱説明書 PC/104 バスシリーズ 16ビットADコンバータ差動 8ch シングルエンド16ch 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします はじめにこのたびは 弊社 T-IADA168 をお買い上げ頂きましてまことにありがとうございます このマニュアルは T-IADA168 の概要等について説明しています 各 LSI についての詳細は必要に応じてデータシートを参照してください ハードウエアの不具合に関しましてのサポートはいたしますが RTOS を含めたソフト面のサポートは基本的にはしておりません 注意事項 (1) 本書の内容に関しては将来予告なしに変更することがあります

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

jikken.dvi

jikken.dvi 1 1 PI 2 1 2 ( 1) H8-Tiny H8/3672 HD64F3672FP 16 CPU ( 16MHz) ROM 16KB, RAM 2048 2KB AD LED 1(c) ( A ) 赤外 LED 前 CdS セル LED 表示 RS232C 端子 (PC との接続端子 ) センサ類 ラインセンサ x3 (LED+CdS セル ) モータドライバ ターゲット Start ボタン

More information

OAKS16-FullKit

OAKS16-FullKit OAKS32-FullKit 2 Microsoft,MS MS-DOS Microsoft Corporation Windows95,,Windows98 Microsoft Corporation IBM PC/AT International Business Machines Corporation Pentium Intel Corporation Adobe, Acrobat Adobe

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 1. 1.1. 1.2. 1 PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 2. 2.1. 2 1 2 C a b N: PC BC c 3C ac b 3 4 a F7 b Y c 6 5 a ctrl+f5) 4 2.2. main 2.3. main 2.4. 3 4 5 6 7 printf printf

More information

IMAT05-10

IMAT05-10 TG-150 Title Generator 1 st EDITION - Rev.4 [] [] [] [] [] ...1...1 1....3 1-1....3 1-2....3 2....4 2-1....4 2-2....5 3....6 3-1....6 3-2....6 4....7 4-1....8 4-2....9 5....12 5-1....12 5-2....12 6....13

More information

E8a エミュレータ ユーザーズマニュアル 別冊 H8/300H Tiny シリーズ接続時の注意事項

E8a エミュレータ ユーザーズマニュアル 別冊 H8/300H Tiny シリーズ接続時の注意事項 User s Manual E8a H8/300H Tiny R0E00008AKCE00JP62 H8/3664FH8/3687FH8/3694F H8/36037FH8/36057FH8/36049F H8/36064FH8/36087FH8/36077F H8/36094FH8/36109FH8/36079F H8/3672FH8/36014FH8/36024F H8/36902FH8/36912F

More information

IMI TSC1171 ( TSC1171) IMI YJ-M-002A( ) Zigbee TSC1171 TSC mm TSC1171 I/O A/D D/A 2.54mm 1

IMI TSC1171 ( TSC1171) IMI YJ-M-002A( ) Zigbee TSC1171 TSC mm TSC1171 I/O A/D D/A 2.54mm 1 IMI TSC1171 ( TSC1171) IMI YJ-M-002A( ) Zigbee TSC1171 TSC1171 2.54mm TSC1171 I/O A/D D/A 2.54mm 1 DIO16 DIO1/SPISEL2 ADC4 ADC3 COMP1M COMP1P DAC1 SPISEL/DIO0 SPIMOSI SPIMISO SPICLK VREF ADC1 ADC2 GND

More information

MTU2 三相の相補PWM出力機能 (相補PWMモード)

MTU2 三相の相補PWM出力機能 (相補PWMモード) お客様各位 カタログ等資料中の旧社名の扱いについて 2 年 4 月 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Microsoft Word - Sample_CQS-Report_English_backslant.doc

Microsoft Word - Sample_CQS-Report_English_backslant.doc ***** Corporation ANSI C compiler test system System test report 2005/11/16 Japan Novel Corporation *****V43/NQP-DS-501-1 Contents Contents......2 1. Evaluated compiler......3 1.1. smp-compiler compiler...3

More information

Microsoft Word - T-ADA16S.doc

Microsoft Word - T-ADA16S.doc T-ADA16S rev.1.0 PC/104 バスシリーズ 12ビットAD 12ビットDA 絶縁 IO 取扱説明書 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション Version2.0(2009.2.6) Copyright 2008 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Kontakttechnik GmbH Hansastraße 27 D-32423 Minden Phone: +49 (0) 571/8 87 0 Fax: +49 (0) 571/8 87 1 69 E-Mail:

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

PIC

PIC PIC LED12 LED11 LED10 LED9 LED8 LED7 LED6 LED5 LED4 LED3 LED2 LED1 +3V SW START SW STOP SW + - BUZZER PIC16F628A RA2 RA3 RA4 MCLR GND RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 Vcc RA6 RA0 RA1 RA7 U1

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

ESP8266-CORE-R Copyrig

ESP8266-CORE-R Copyrig ESP8266-CORE-R1 http://www.microfan.jp/ https://store.shopping.yahoo.co.jp/microfan/ https://www.amazon.co.jp/s?merchant=a28nhprkjdc95b 2018 3 Copyright c 2017-2018 MicroFan, All Rights Reserved. i 1 ESP8266-CORE-R1

More information

⊿ΣA/D 変換器 ユーザーズガイド

⊿ΣA/D 変換器 ユーザーズガイド お客様各位 カタログ等資料中の旧社名の扱いについて 年 月 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com) 年

More information

OAKS16-FullKit

OAKS16-FullKit 1 2 3 1.... 6 1.1... 6 1.2.CDROM... 6 1.3.... 6 1.4... 8 1.5.... 9 2.... 10 2.1... 10 2.2... 10 3... 11 3.1.... 11 3.2.OAKS16-MINI M30262F8GP... 12 3.3.OAKS16-MINI EXBOARD... 12 3.4.KD30... 12 3.5.NC30WA...

More information

HEW&FDT

HEW&FDT HEW 開発環境の導入と サンプルプログラムのビルド ヴイストン株式会社 (2012.05.02) 本項説明書は VS-WRC003 を C 言語で開発する際に使用可能な ルネサスエレクトロニクス社より無 料配布されている High-performance Embedded Workshop を用いたサンプルプログラムの実行に関し て解説をします おおまかな手順は以下の通りです 1 開発環境の入手

More information

E for LINUX UNIX Windows 10

E for LINUX UNIX Windows 10 E for LINUX UNIX Windows 10 ...3...4 DASmini...5 1...5...6...7 DASmini...8 3.1 inet_io_open...9 3.2 inet_io_close... 10 3.3 inet_io_packet... 11 3.4 inet_io_cond... 12 3.5 inet_io_stat... 13 3.6 inet_io_stop...

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2 ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) http://www.microfan.jp/ http://store.shopping.yahoo.co.jp/microfan/ http://www.microfan.jp/shop/ 2017 4 Copyright c 2017 MicroFan, All Rights Reserved. i 1 ESP32-KEY-KIT-R1

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

DebugFactory Builder 4 for AMOTユーザーズマニュアル

DebugFactory Builder 4 for AMOTユーザーズマニュアル DebugFactory Builder 4 for AMOT PanaXSeriesDebugFactory Builder MS-WINDOWSMS-DOS Adobe Acrobat Reader Adobe (1) (2) (3) (4) (5) (6) 1 (7) DebugFactory Builder 4 for AMOT E-Mail( info@object.co.jp) WEB

More information

‚æ4›ñ

‚æ4›ñ ( ) ( ) ( ) A B C D E F G H I J K L M N O P Q R S T U V W X Y Z a b c d e f g h i j k l m n o p q r s t u v w x y z 0 1 2 3 4 5 6 7 8 9 (OUS) 9 26 1 / 28 ( ) ( ) ( ) A B C D Z a b c d z 0 1 2 9 (OUS) 9

More information

EB-RL7023+SB/D2

EB-RL7023+SB/D2 RL7023 Stick/IPR ユーザーズ マニュアル テセラ テクノロジー株式会社 Rev :2.0 2014/9/30-1 - 目次 1 本書の概要... 3 2 PC 動作環境の説明... 4 3 USB ドライバのインストール... 4 3.1 RL7023 Stick の接続... 4 3.2 USB ドライバのインストール... 4 3.3 USB ドライバのダウンロード... 5 4

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX63N グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX63N 1 / 53 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information