ハイエンドプロセッサ内蔵SRAM技術

Similar documents
Microsoft PowerPoint - 6.memory.ppt

スライド 1

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Web-ATMによる店舗向けトータルATMサービス

ムーアの法則に関するレポート

デジタルカメラ用ISP:Milbeaut

降圧コンバータIC のスナバ回路 : パワーマネジメント

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 9.Analog.ppt

電力営業系ソリューションの電力システム改革への取組み

Microsoft PowerPoint - 3.3タイミング制御.pptx

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint pptx

モバイルネットワーク管理システム

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

スライド 1

TO: Katie Magee

スライド 1

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

富士通セミコンダクター株式会社発表資料

IPSJ SIG Technical Report Vol.2014-IOT-27 No.14 Vol.2014-SPT-11 No /10/10 1,a) 2 zabbix Consideration of a system to support understanding of f

4.1 % 7.5 %

富士通セミコンダクタープレスリリース 2009/05/19

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析

Microsoft PowerPoint pptx

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回>

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

21世紀型パラメータ設計―標準SN比の活用―

LTE移動通信システムのフィールドトライアル

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

スライド 1

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

建設業界におけるICT施工の進展とバリューチェーン展開への取組み

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

ICTのメガトレンドに向けたハイパーコネクテッド・クラウドへの取組み


NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用

New Drive The Next The FRENIC-Ace is the inverter that produces excellent cost-performance; maintains high performance through optimal design. In this

IBIS Quality Framework IBIS モデル品質向上のための枠組み

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

TC74HC00AP/AF

スライド 1

router_cachehit.eps

[4] ACP (Advanced Communication Primitives) [1] ACP ACP [2] ACP Tofu UDP [3] HPC InfiniBand InfiniBand ACP 2 ACP, 3 InfiniBand ACP 4 5 ACP 2. ACP ACP

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

Microsoft PowerPoint - 2-4_matsunaga

スライド 1

レベルシフト回路の作成

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美

報道機関各位 平成 30 年 5 月 14 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の

スライド 1

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

スライド 1

と 測定を繰り返した時のばらつき の和が 全体のばらつき () に対して どれくらいの割合となるかがわかり 測定システムを評価することができる MSA 第 4 版スタディガイド ジャパン プレクサス (010)p.104 では % GRR の値が10% 未満であれば 一般に受容れられる測定システムと

TC74HC14AP/AF

周波数特性解析

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht

システム開発プロセスへのデザイン技術適用の取組み~HCDからUXデザインへ~

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft Word - TA79L05_06_08_09_10_12_15_18_20_24F_J_P11_070219_.doc

業務用コンピュータサーバーに関する

e - カーボンブラック Pt 触媒 プロトン導電膜 H 2 厚さ = 数 10μm H + O 2 H 2 O 拡散層 触媒層 高分子 電解質 触媒層 拡散層 マイクロポーラス層 マイクロポーラス層 ガス拡散電極バイポーラープレート ガス拡散電極バイポーラープレート 1 1~ 50nm 0.1~1

量販店向けPOSシステムサービス:TeamCloud/M

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

JUSE-StatWorks/V5 活用ガイドブック

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

Microsoft Word - DA2007_BoostedWL-SRAM_ver1c.doc

本文ALL.indd

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification on BAST Architecture

Microsoft PowerPoint - Renesas_AdvancedPPmL(2010_11_11_rev).ppt [互換モード]

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

【Cosminexus V9】クラウドサービスプラットフォーム Cosminexus

データセンターの効率的な資源活用のためのデータ収集・照会システムの設計

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

untitled

電子回路I_6.ppt

Windows Azure Platformを活用したPaaSサービス:FGCP/A5

h-hwang11phdthesis-RealizingName.pptx

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

ComputerArchitecture.ppt

QOBU1011_40.pdf

スイッチ ファブリック

PowerPoint プレゼンテーション

10年オンプレで運用したmixiをAWSに移行した10の理由

富士通セミコンダクタープレスリリース 2013/04/22

Transcription:

Embedded SRAM Technology for High-End Processors あらまし 富士通は, 社会基盤を支えるサーバ商品に搭載するプロセッサを国内では唯一独自開発している その開発戦略は, 半導体部門と協力してテクノロジと同時にプロセッサを並行開発するものである ここで紹介する SRAM 技術とは半導体製造と回路方式の複合技術であり, 高性能, 小面積, 低消費電力の相反する要件を高いレベルで満足させ, テクノロジの立上げと同時に完全動作させるために必要な技術である プロセッサのシステムクロック限界を律速するのは 1 次キャッシュ用高速 SRAM の動作速度であり, 処理のボトルネックとなる外部メモリのアクセス頻度を決めるのは 2 次キャッシュ用高密度 SRAM の搭載容量である この観点から SRAM はプロセッサのキーコンポーネントと言える 一方, 半導体の微細化に伴い様々な弊害が顕在化し,SRAM の記憶素子であるメモリセルの製造ばらつきが増大している このためサーバプロセッサの要件を満たせるような SRAM の開発は非常に難易度が増している 本稿では, このような状況の中で, 富士通がサーバプロセッサ用 SRAM をどのように開発しているのかを紹介する Abstract Fujitsu is the only company in Japan that develops its own processors for use in server products that support the social infrastructure. Its processor development strategy is to collaborate with the internal semiconductor group and simultaneously develop the processor and semiconductor technology. This paper introduces SRAM development technology, which is a complex technology combining both semiconductor manufacturing and circuit systems. It fully meets conflicting server processor requirements such as high performance, small area and low power. It is a technology that is essential for starting up new technology and having it fully operational at the same time. Level-1 cache SRAM speed determines the processor clock rate, while the data processing bottle-neck is determined by the density of the level-2 cache SRAM. Thus SRAM is a key technology for server processors. As finer semiconductor technologies progress, various problems arise and the variability of the memory cell in the SRAM gets bigger. Consequently, development of SRAM that meets the server processor requirements is getting critical. This paper describes our SRAM development methodology. 中台裕志 ( なかだいひろし ) エンタプライズサーバ事業本部テクノロジ開発統括部所属現在, サーバプロセッサ向けSRAM の開発に従事 伊藤学 ( いとうがく ) エンタプライズサーバ事業本部テクノロジ開発統括部所属現在, サーバプロセッサ向け2 次キャッシュ用高密度 SRAMの開発に従事 植竹俊行 ( うえたけとしゆき ) エンタプライズサーバ事業本部テクノロジ開発統括部所属現在, サーバプロセッサ向け1 次キャッシュ用高速 SRAMの開発に従事 FUJITSU. 61, 6, p. 543-548 (11, 2010) 543

まえがき富士通は, 社会基盤を支えるサーバ商品に搭載するプロセッサを国内では唯一独自開発している サーバプロセッサは高性能, 高密度, 低消費電力が求められており, その動作周波数限界を律速するのは1 次キャッシュ用 SRAMであり, 処理のボトルネックとなる外部メモリのアクセス頻度を決めるのは2 次キャッシュ用 SRAMの搭載容量である この観点から,SRAMはプロセッサのキーコンポーネントと言え, プロセッサと同様の相反する要件に対して高度に最適化を図ることが必要となる SRAMは記憶素子であるメモリセルとこれを制御する周辺回路から成り, この構成を常に見直すことで最適化を実現してきた 一方, 半導体技術はムーアの法則に従って微細化を続けているが,SRAMのメモリセルはこの微細化に伴う不可避な製造ばらつきの増大によって, メモリセルとして求められる性能と安定性は低下の一途をたどっている このような状況の中でサーバプロセッサの要件を満たせるようなSRAMの開発は非常に困難になりつつある 本稿では, この技術課題の説明とこの解決に向けた富士通の取組みについて紹介する SRAM 開発における技術課題半導体メーカ各社は先端技術を駆使して微細化競争を続けており, プロセス技術のシンボルとも言えるSRAMのメモリセルの面積をテクノロジごとに半減させ続けている しかしメモリセルが小さくなることで, これを構成するトランジスタ素子の製造に必要な不純物の拡散のゆらぎや, 形状の不均一性などの物理的に不可避な現象によって, 素子特性ばらつきが増大してくる この結果としてSRAM 設計が非常に困難なものとなってきている 一般に,SRAMのメモリセルの性能と安定性はトレードオフの関係にあり, メモリセルを構成する いき トランジスタの閾値を小さくすると性能は向上す るが, 安定性は低下してしまう 半導体の微細化による閾値のばらつき増大によって, 従来に比べて安定性の悪いメモリセルが出現する確率が高くなる そこで歩留まりを確保するために, 素子全体の閾値を安定寄りに, すなわち性能低下側に設定せざるを 得なくなる したがって, メモリセルを半導体技術のトレンドどおりに小さくしていくことは相対的にメモリセルの性能が低下することであり, 高速に動作させたいサーバプロセッサとしての要件を満たすことが困難になってきたことを意味する SRAM 開発の取組みこのような状況において, サーバプロセッサ向けに高性能, 高密度, 低消費電力なSRAMを開発するために著者らは大きく下記の3 点の取組みを行っている (1) サーバプロセッサに最適なメモリセルの開発メモリセルは各世代の最小サイズのものが最適なものとは言えず, プロセッサの要件とSRAMの回路方式に応じて面積と性能の最適化が必要である 著者らはテクノロジ開発の早期から半導体部門と連携し, 協同でサーバプロセッサに最適なメモリセルの開発を行っている (2) 微細化問題を解決できる回路技術の開発 SRAMはメモリセルとこれを制御する周辺回路から構成されている 著者らはメモリセルの制御方法に関して, 先述の技術課題の解決に向けて技術動向調査や独自の研究を行っており,SRAMの技術ロードマップを策定している これに添って製品用マクロ設計と並行して次世代に向けた先行試作実験を繰り返し, 新回路技術の立上げに取り組んでいる (3) シミュレーション技術の向上素子ばらつきを考慮した統計的なメモリセルのワーストケースモデルを算出し, これをSRAM 全体の回路シミュレーションに反映することで, 実際の試作実験の回数を減らすと同時に設計段階での品質を確保している この手法によって半導体テクノロジの立上げと同時にプロセッサの完全動作を可能にしている 以下, これら三つの取組みについて詳細に述べる 最適なメモリセルの開発まず, 半導体の微細化によって派生する問題をより詳しく述べる SPARC64 Ⅷfxプロセッサ (1) に採用した45 nm 世代の高密度用 SRAMのメモリセルとその等価回路を図 -1に示す 図に示すように1μm 2 にも満たない領域に6 個のトランジスタが配置され 544 FUJITSU. 61, 6 (11, 2010)

VDD ワーストセル ( 安定性 ) 0.94μm 0.38μm VSS 性能 ( 読み出し電流 ) 図 -1 SRAM メモリセルの SEM 画像と等価回路 Fig.1-SEM image of SRAM memory cell and equivalent circuit. ワーストセル ( 性能 ) 安定性 (Static Noise Margin) (a) メモリセルの性能 vs 安定性 ている 2 次キャッシュメモリはこれを数千万個並べて構成する このような微細なトランジスタを大量に使用することで製造ばらつきの影響を大きく受けるようになる そこで, 個々のトランジスタの製造ばらつきを反映させた場合にメモリセルの特性がどのように分布するかをシミュレーションした結果を図 -2(a) に示す 縦軸はメモリセルの性能指標の一つである読み出し電流であり, 横軸はメモリセルの安定性の指標であるSNM(Static Noise Margin) である 図中の点がメモリセル一つに対応する このシミュレーションでは1 万個のセルについてプロットしたが, プロセッサにはこの数千倍のメモリセルが含まれるため, 実際にはより広範囲に分散する プロセッサの性能は内蔵されるメモリセルの中に出現する最も悪いメモリセルが決定してしまい, 安定性の最も悪いセルが歩留まりを決めてしまう ばらつきが増大するということは図中の各点の分散が更に拡大することを意味する ばらつきの増大によって, 最も安定性の悪いメモリセルのSNMが0 以下になるとデータを保持できなくなる これを回避するために素子の閾値を大きくすることでSNMを大きくすることが可能である しかし, 図 -2(b) に示すとおり, 性能を犠牲にしなければならなくなる これが微細化に伴うメモリセルの問題である 半導体メーカ各社は, 先端技術を駆使して世代ごとにメモリセルの面積を半減させてきたが, そのテクノロジにおける最小のメモリセルはサーバプロセッサにとっては最適なものではない SRAMはメモリセルとそれを制御する周辺回路から構成され, 性能 ( 読み出し電流 ) 低い閾値高い 安定性 (Static Noise Margin) (b) メモリセルの閾値調整による分布の変動 図 -2 メモリセルの特性分布 Fig.2-Characteristic distribution of memory cell. これらはお互いに密接に関係しており,SRAMに求められる性能, 面積, 電力の要件に応じて最適化が必要になる 例えば最小のメモリセルを使って高速動作を実現するためには, 低下した読み出し電流によって減少した微小振幅でも読み出せるセンスアンプが必要になる 一般に入力振幅が小さくなるほど, これを増幅するセンスアンプの面積が大きくなり, 結果としてメモリセルは小さいものの, SRAMとしては大きくなってしまう さらに, 大きなセンスアンプを駆動するため, 消費電力も増大する したがって, 性能要件を満たしつつSRAM としての面積が最小になるような読み出し電流を確保するために, あえて大きめなメモリセルを採用することが必要になる このようにSRAMに求められる要件によって最適なメモリセルの面積は変わってくる 著者らは高速動作が必要な1 次キャッシュ用 SRAMと, 面積優先の2 次キャッシュ用 SRAMそれぞれにおいて最適化を行い, 結果として異なる面 FUJITSU. 61, 6 (11, 2010) 545

積のメモリセルを採用した さらにトランジスタの閾値を変えることで特性の最適化も図っている また,SRAMに求められる要件だけではなく, その回路構成によっても最適なメモリセルは異なってくる そこで, 著者らはテクノロジ開発の早期から半導体部門のメモリセル開発に参画している 回路技術の開発 はじめに, 従来から用いられている差動方式 SRAMの読み出し動作について述べる 差動方式 SRAMの回路図とタイミングチャートを図 -3に示す 図中に,として示されるBit 線には多数のメモリセルが接続されている メモリセルは二つのインバータの入出力を互いに結線することによりデータを保持している ワード線 を 1 にすることで読み出すべきメモリセルを選択し, 保持データをBit 線に伝播させる しかしメモリセルは SE / SE (a) 差動方式回路 (b) 差動方式タイミングチャート 図 -3 差動方式 Fig.3-Differential method. 非常に小さなトランジスタで構成されており, 多数のメモリセルが接続されているBit 線を十分に駆動することができないため,- 間の電位差が電源 -GND 間に比べ微小な振幅となる そこで, この微小な振幅を増幅するためにイネーブル信号 SE によりセンスアンプを活性化させ, 出力信号 に伝播させることで読み出し動作を完了する つぎに, 著者らが導入した回路技術について述べる 微細化に伴うメモリセルの安定性低下の問題については学会などで様々な回避策が議論されている 著者らはメモリセルが駆動するBit 線の負荷を軽く, すなわちBit 線に接続するメモリセルの数を少なくし, 読み出し時のBit 線の放電を急速に行うことで, 不安定に出来上がったメモリセルであっても反転を防止できることに着目した これによって性能を犠牲にすることなくメモリセルを縮小できると考えた メモリセルの回路図を図 -4(a) に示す また, 製造ばらつきが大きく, 反転しやすいメモリセルに対してBit 線の負荷を変化させたときのシミュレーション波形を図 -4(b) に示す このようにBit 線の負荷が大きい状態 αで読み出した場合, 読み出し電流がBit 線から流入することでノードCの電位は上昇する これによってtr3とtr4で構成されるインバータが応答し反転することで, 保持データが破壊される 一方,Bit 線の負荷を軽くした状態 βのような場合ではbit 線の電位が急激に下がることで先述のインバータが反転する前に読み出しが完了し, データの反転を防ぐことができる 図 -4(c) はBit 線に接続するメモリセルの個数を変えることでBit 線の負荷を変えた場合に, 保持した値が反転する限界のばらつき量をシミュレーションで算出した結果である この図はBit 線に接続するメモリセル数を64としたときの反転限界のばらつき量 σを1としてメモリセル数を変化させた場合の反転限界をプロットしたものである この結果から明らかなように,Bit 線に接続するメモリセルの数を減らすことで, より大きくばらついても反転しにくくなることが分かる また, この効果を応用してSRAMの回路を考える場合, メモリセル数を十分少なくし,Bit 線の振幅を大きくとることで, デジタル信号として扱うことが可能である これによりSingle-End 方式としてSRAMを構成することができる 546 FUJITSU. 61, 6 (11, 2010)

C tr2 tr1 tr4 CX tr3 メモリセル数多 α メモリセル数少 β SOUT (a) 読み出し時のメモリセル動作 ローカル Bit 線 グローバル Bit 線 / β α C/CX αセル反転状態 β (b) 読み出し時のシミュレーション波形 (a)single-end 方式回路 σ( 相対値 ) あああ 1.2 1.1 1 0.9 8 16 32 64 128 保持 256 Bit 線に接続するメモリセル数 (row 数 ) (c)bit 線負荷とセル安定性限界 反転 メモリセル数 (row 数 ) を増やす セルが反転しやすくなる 512 / SOUT (b)single-end 方式タイミングチャート 図 -5 Single-End 方式 Fig.5-Single-End method. 図 -4 メモリセルの安定性とセルアレイ構成の関係 Fig.4-Memory cell stability vs. cell array structure. ここで, 図 -5(a) と (b) に Single-End 方式の 回路図とタイミングチャートを示す Single-End 方式では従来に対しBit 線を分割するため負荷が軽くなり, 読み出し時にワード線が開くとBit 線はフルスイングする このため差動センスアンプは不要となり通常のLogic Gateで読み出すことが可能である この分割されたBit 線をローカルBit 線と呼ぶ また,Bit 線を分割したことによりデータを集約する必要が生じるが, これにはグローバルBit 線を用いる これらローカルとグローバルの2 段階による読み出しにより, 出力信号 に出力を行う また, 性能, 面積, 電力の面でも従来方式に比べて利点がある まず, 性能面での利点を示す Single-End 方式ではBit 線の長さを1/N とすることでメモリセルの 放電時間を大幅に短縮することができるが, 反面, ローカルBit 線の制御回路がN 個必要となり, データ集約のためのディレイのオーバヘッドが生じる しかし従来のSRAMと比較した場合に, 全体のディレイに対するセルの放電時間の比率が小さくなる これによって, ばらつきが原因で遅いメモリセルが出現した場合の性能への影響を低減することが可能になる つぎに面積に関しては, 従来の差動方式では微細化に伴うばらつき増大のために, センスアンプを小さくできなくなってきている しかしSingle-End 方式では制御回路の数がN 倍になるものの通常の Logic Gateで構成することができるので, 半導体の微細化に伴って通常の面積縮小率で小さくすることができる 電力に関しては, 読み出し / 書き込みに必要な電荷 (=ダイナミック電流) が1/N となり, さらに FUJITSU. 61, 6 (11, 2010) 547

Bit 線自体の負荷も1/N になるのでこれを駆動するドライバも1/N にでき, 相乗的にダイナミック電流を減らすことが可能である シミュレーション技術の向上メモリセルの性能は,SRAMの特性全体に対し, 大きな影響を及ぼす そのため,SRAMの設計時には, 素子ばらつきを考慮し, 実際に出現する最も特性の悪いメモリセルを精度良く算出しモデル化を行い,SRAMのシミュレーションに取り込む必要がある 一般的に, ばらつきの推定手法として, モンテカルロ法が広く知られている 図 -2に示したメモリセルの特性分布は,1 万個のメモリセルの性能や安定性に関してモンテカルロ法によるシミュレーションで得られた結果である しかし, 実際のプロセッサには2 次キャッシュメモリだけでも数千万個のメモリセルが搭載されており, この中に出現する最も特性の悪いメモリセル ( ワーストセル ) を, 例えば 1% 以内の誤差率で精度良く算出するためには, 数十億回以上のシミュレーションが必要になり, 計算機資源や時間の制約によって事実上不可能である そこで富士通研究所が開発したSRAM 解析システムを, ワーストセルの算出に応用することで, この問題を解決した この解析システムでは, まず, ばらつき係数を振り, マージンが減少する方向に進みながら, ワーストセルの探索を行う つぎにISMC(Importance Sampling Monte Carlo) 法 (2) を用い, 探索したセル近辺で集中的に乱数を発生させ重点的にサンプリングを行う このとき, 発生する多次元乱数には, 各次元でのサンプリング点の配置が均等になる Latin Hypercube Sampling (3) を用いる これらにより, 数百回程度のシミュレーション回数で, 高精度なワーストセルの算出が可能となり, 従来のモンテカルロ法と比較して百万倍以上の計算時間の短縮を実現した 上記システムにより算出したワーストセルのモデルを取り込み,SRAMのシミュレーションを精度良く実行することで, 試作回数を減らし, 設計品質の向上を図っている むすび 本稿では, サーバプロセッサ向けSRAM 開発の技術的課題と, この解決に向けた三つの取組みについて紹介した 著者らは, これら取組みにより,45 nm 世代において,SPARC64 Ⅷfxプロセッサの1 次キャッシュメモリにSingle-End 技術を適用した さらに2 次キャッシュメモリにも展開する予定である 今後も継続して半導体微細化の課題解決に取り組み, 高速, 高密度, 低消費電力なSRAMを開発することで, サーバプロセッサの性能向上に貢献していく 参考文献 TM (1) T. Maruyama:SPARC64 Ⅷfx:Fujitsu s New Generation Octo Core Processor for PETA Scale Computing.Hot Chips 21,2009. (2) R. Kanj et al.:mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events.dac 2006, p.69-72. (3) A. Olsson et al.:on Latin hypercube sampling for structural reliability analysis.structural Safety, Vol.25,Issue 1,p.47-68(2003). 548 FUJITSU. 61, 6 (11, 2010)