RSA FA FA AND Booth FA FA RSA 3 4 5

Size: px
Start display at page:

Download "RSA FA FA AND Booth FA FA RSA 3 4 5"

Transcription

1 RSA High-Speed Multiplication for RSA ode using Redundant Binary System

2 RSA FA FA AND Booth FA FA RSA 3 4 5

3 This paper summarizes High-Speed Multiplication for RSA ode using Redundant Binary System, which is performed for the degree of Master at the raduate School of Engineering, the Kochi University of Technology. In the communication field, many researches have been carried out into encryption, which is used to protect communication from unauthorized transmitter and receiver. This means that the information becomes more and more valuable in modern society; so-called an advanced information society. The encryption technology becomes popular in a daily life because the value of information is recognized higher and higher. ipher is used for information secrecy and authentication. The electronic signature is one example of authentication using cipher. The cipher is classified into two types. The one is common key cryptography, which perfumes encryption and decryption using a common key. The other is public key cryptography, which uses two keys; a secret key and a public key. Recently, the public key cryptography is used in communication area, because of its small number of keys comparing to the common key cryptography. The public key cryptography, however, requires complicated arithmetic operation for encryption and decryption. Therefore, high speed arithmetic processing is necessary in transmitters and receivers. This paper proposes a multiplier using Redundant Binary System (RBS as a circuit that performs index and surplus calculations at high speed. We compared the multiplier using RBS with two kinds of multipliers using Full-Adder (FA, with regard to data arrival time and gate count. As for data arrival time, the multiplier using RBS is faster than the multipliers using FA, and this tendency is grown when the number of input data bits is increased. Improvement in the speed is expected when Booth decoder is applied to the partial product generation. As for gate count, the multiplier using RBS requires more gates than the multipliers using FA. Future researches should focus on the reduction of gate count. This paper consists of 5 hapters. The first hapter describes background and purpose of this research. hapter explains the arithmetic operation method of RSA code comparing with ordinary ones. In hapter 3, we propose the multiplier using RBS, and hapter4 shows the comparison results of multipliers regarding to data arrival time and gate count. Finally, hapter 5 summarizes this paper suggesting future research subjects. This work is supported by VLSI Design and Education enter (VDE, the University of Tokyo in collaboration with Rohm orporation and Synopsys, Inc.

4 RSA 3 RSA kary

5 ( :Electronic Toll ollection System n k min k min (n-(n-(n-3 k min n

6 . RSA RSA 3 5

7 RSA RSA RSA 977 MIT( (Rivest (Shamir (Adleman RSA. B b B A c D d D. 3

8 RSA ( a,b n n a b a b n a b ( mod n ( RSA ( (a,n φ ( a n ( mod n ( φ ( n φ ( n ( p ( q (3 p q p q n P a P a a P a ( mod P ( a P ( mod P (4 (5 RSA P e ( mod M (6 P e M P 53 e M ( mod 33 (7 4

9 (6 e P ( mod M (8 e e ( mod 33 (9 P 5 M 33 (P,M ( φ n 3 7 φ ( n ( P P P P P P P( mod 33 ( (6 (8 RSA (6 (8 k-ary [] k-ary AB ( mod ( mod AB AB ( mod X AR ( ( Y B mod (3 X, Y 5

10 XY ( mod (4 R XY R XY U R ( mod (5 U (4 (5 U U XY ( mod R (6 (5 X ( mod R (7 X Y U ( mod (8 R Y ( X <, Y < XY < ( mod R (9 ( mod R ( < R < R < R XY < < XY R R XY < R XY < R < (4 6

11 3 k-ary k-ary k-ary k A ( mod k-ary.(a,(b A A A : A(mod A (mod (A A(mod (A 5 A(mod (a A [b] A 3 A 3 (mod :A [b] A (A (mod (A 4 (mod A 8 A 3 (mod A ( mod (b k-ary k bb. k-ary. (a 7 (b k-ary 3 k-ary k-ary k-ary A 3 ( mod k k k-ary n k k ( 7

12 n k k {( n k } ( 4 ~4.3.3kbit (k4 k-ary RSA 4 k-ary RSA 4.4 8

13 .4 Booth Wallace tree (arry Look-ahead Adder:LA (Binary Look-ahead arry Adder:BLA [] Booth 6 Y Y y5 y4 ( y3 y ( y y 4 ( y y y ( y y y ( y y y y y y 3 y 3 y y (3 MSB [ ] y Booth. X 9

14 . Booth y j y j y j- Y j Z j One two N X j X j X j X j X j X j Y j Z j Y j Z j j X Y j Z j j X Y j Z j X j Y j Z j j X one,two,n one two N Booth.5 y j- one y j two y j N.5 Booth X j

15 X j-.6 X j X j- one two N Z j.6 Booth MSB [ ] y 5.6 Booth one,two N MSB X N- Z jn N X N- one,two.7 one two N Z jn X N-.7 Booth.5.7 Booth Wallace tree (Full adder:fatree

16 FA /3 tree tree (48 tree LA BLA LA 4 LA A,B 3 A B ( A B (4

17 ( B A B A (5 ( B A B A (6 ( B A B A (7 (4 n n n B A (8 n n n B A (9 (3 (3 ( (3 ( (3 ( (3 A,B MSB LSB MSB LA.9 3

18 .9 4 LA LA BLA BLA LA BLA g q (33 ( A B ( A B (34 4

19 A B ( A B ( (35 g q (36 g, q (37 (33 ( (, ( g q ( g q (38 (33 g q (39 g q q g (4 (, q (, (, g (4 n ( g n, qn ( n, n ( n, n (, ( n, n (4, (4 g,q n n- tree tree. 5

20 ( 7, 7 (g 7,q 7 ( 6, 6 (g 6,q 6 ( 5, 5 (g 5,q 5 ( 4, 4 (g 4,q 4 ( 3, 3 (g 3,q 3 (, (g,q (, (g,q (, (g,q ( -, - (g -,q -. tree 6 BLA. R,PB,NB SM XOR. 6 BLA 6

21 3 3 FA RSA 4 (Redundant Binary System 3 [3] (a (b 3. 7

22 ,,,, A,B ss S i ss i i i i A i,b i i- A i- B i- i S i i ss i i- i ( A i( A i( B i( B i( A i-( A i-( B i-( B i-( ss i( ss i( i( i( 3. ss {( A A ( B B } α i( i( i( i( i( (3 8

23 ss {( A A ( B B } α i( i( i( i( i( {( A A B B A A ( B B } α Ai ( Ai ( Bi( Bi( i( i( i( i( i( i( i( ( i( i {( A A B B A A ( B B } α Ai ( Ai ( Bi( Bi( i( i( i( i( i( i( i( ( i( i (3 (33 (34 α A A i ( i ( i ( i ( B B ( ( ss i( ss i( i-( i-( S i( S i( 3 don t care 3. S i( ssi( i ( (36 S i( ssi( i ( (37 (3 (

24 3. ( don t care 3.3

25 3.3 don t care ( A i( A i( B i( B i( A i-( B i-( ss i( ss i( i( i( don t care A i-( B i-( 3.3 ss A A B A A B A B B A B B α (38 i( ( i( i( i( i( i( i( i( i( i( i( i( i( ss A A B A A B A B B A B B α (39 i( ( i( i( i( i( i( i( i( i( i( i( i( i( A B A B A B i ( i ( i ( ( i ( i ( i ( i ( α (3 A B A B A B α (3 i ( i ( i ( ( i ( i ( i ( i ( α A i ( B i ( (3 3.4

26 3.4 don t care ( ss i( ss i( i-( i-( S i( S i( 34 don t care S i ( ss i ( i ( ss i ( i ( (33 S ss ss i ( i ( i ( i ( i ( (34 (38 ( ,3,64 LABLA LA BLA [4] 33

27 A j-( B j-( A j( A j( B j( B j( j-( j-( ss j( ss j( j( j( S j( S j( 3.3 ( RA BLA 6bit 3bit 64bit (bit 3.4 3

28 X Y N N AND Z N- 3.5 AND 3.3 FA tree

29 3.6 6 FA 3.7 FA n( 3.7 n 5

30 n AND n Booth (n/ FA 3 i i ( n ( 3 (35 i n i ( (36 6

31 4 RSA 3 Wallace tree, LA 4.(a Booth, Wallace tree, BLA 4.(b 4.(c 6,8,6 4. (AND (Booth (AND Wallace tree Wallace tree (LA (BLA (a (b (c 4. VHDL (6 VHDL Synopsys design analyzer library rohm35_h (a,(b,(c 7

32 4.(a 6 8

33 4.(a 8 9

34 4.(b 6 3

35 4.(b 8 3

36 4.(c 6 3

37 4.(c 8 33

38 4. 4. Bit ircuit The number of ate Data arrival time [gate] [ns] (a (b (c (a (b (c (a (b (c ircuit(a,(b,(c 4. Wallace tree, LA Booth, Wallace tree, BLA

39 Data arrival time (ns bit 8bit 6bit (a (b (c bit(bit 4.3 The number of ate (gate 6bit 8bit bit(bit 6bit Booth, Wallace tree, BLA

40 5 FA AND Booth FA FA FA 36

41 37

42 [] RSA Vol.No.476pp.576November. [] MOS pp.557 Octover996. [3] pp [4] RSA p

43 6 6 VHDL VHDL Wallace tree, LA 6 VHDL library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity multiplier66_fa is port (X : in std_logic_vector(5 downto ; Y : in std_logic_vector( 5 downto ; zero : in std_logic; Z : out std_logic_vector( downto ; end multiplier66_fa; architecture rtl of multiplier66_fa is component FA port (A : in std_logic; B : in std_logic; : in std_logic; S : out std_logic; _out : out std_logic; end component; component LA_4 port (A : in std_logic_vector(3 downto ; B : in std_logic_vector(3 downto ; : in std_logic; S : out std_logic_vector(3 downto ; _out : out std_logic; 39

44 end component; component HA port (A : in std_logic; B : in std_logic; S : out std_logic; : out std_logic; end component; signal XY, XY, XY3, XY4, XY5, XY, XY, XY, XY3, XY4, XY5, XY, XY, XY, XY3, XY4, XY5, X3Y, X3Y, X3Y, X3Y3, X3Y4, X3Y5, X4Y, X4Y, X4Y, X4Y3, X4Y4, X4Y5, X5Y, X5Y, X5Y, X5Y3, X5Y4, X5Y5,,,, 3, 4, 5, 6, 7, 8, 9,,,, 3, 4, 5, 6, 7, 8, 9,,,, 3, 4, 5, S, S, S, S3, S4, S5, S6, S7, S8, S9, S, S, S, S3, S4, S5, S6, S7, S8, S9, S, S : std_logic; signal A, B : std_logic_vector(7 downto ; signal : std_logic; begin Z( < X( and Y(; XY < X( and Y(; XY < X( and Y(; X3Y < X(3 and Y(; X4Y < X(4 and Y(; X5Y < X(5 and Y(; XY < X( and Y(; XY < X( and Y(; XY < X( and Y(; X3Y < X(3 and Y(; X4Y < X(4 and Y(; X5Y < X(5 and Y(; XY < X( and Y(; XY < X( and Y(; XY < X( and Y(; 4

45 X3Y < X(3 and Y(; X4Y < X(4 and Y(; X5Y < X(5 and Y(; XY3 < X( and Y(3; XY3 < X( and Y(3; XY3 < X( and Y(3; X3Y3 < X(3 and Y(3; X4Y3 < X(4 and Y(3; X5Y3 < X(5 and Y(3; XY4 < X( and Y(4; XY4 < X( and Y(4; XY4 < X( and Y(4; X3Y4 < X(3 and Y(4; X4Y4 < X(4 and Y(4; X5Y4 < X(5 and Y(4; XY5 < X( and Y(5; XY5 < X( and Y(5; XY5 < X( and Y(5 ; X3Y5 < X(3 and Y(5; X4Y5 < X(4 and Y(5; X5Y5 < X(5 and Y(5; U : FA port map(zero, XY, XY, Z(, ; U : FA port map(xy, XY, XY, S, ; U : FA port map(xy, XY, X3Y, S, ; U3 : FA port map(xy, X3Y, X4Y, S, 3; U4 : FA port map(x3y, X4Y, X5Y, S3, 4; U5 : FA port map(x4y, X5Y, zero, S4, 5; U6 : FA port map(zero, XY4, XY3, S5, 6; U7 : FA port map(xy5, XY4, XY3, S6, 7; U8 : FA port map(xy5, XY4, X3Y3, S7, 8; U9 : FA port map(xy5, X3Y4, X4Y3, S8, 9; U : FA port map(x3y5, X4Y4, X5Y3, S9, ; U : FA port map(x4y5, X5Y4, zero, S, ; U : FA port map(zero, S,, Z(, ; U3 : FA port map(xy3, S,, S, 3; 4

46 U4 : FA port map(s5, S,, S, 4; U5 : FA port map(s6, S3, 3, S3, 5; U6 : FA port map(s7, S4, 4, S4, 6; U7 : FA port map(s8, X5Y, 5, S5, 7; U8 : FA port map(s3, 6, zero, S6, 8; U9 : FA port map(s4, 7, 5, S7, 9; U : FA port map(s5, 8, 6, S8, ; U : FA port map(s9, 9, 7, S9, ; U : FA port map(s,, zero, S, ; U3 : FA port map(x5y5,, zero, S, 3; A < S&S&S9&S8&S7&S6&S&S; B < &&&9&8&4&3&; U4 : LA_4 port map(a(3 downto, B(3 downto, zero, Z(6 downto 3, 4; U5 : LA_4 port map(a(7 downto 4, B(7 downto 4, 4, Z( downto 7, 5; U6 : HA port map(5, 3, Z(, ; end rtl; Booth, Wallace tree, BLA 6 VHDL library IEEE; use IEEE.STD_LOI_64.ALL; use IEEE.STD_LOI_ARITH.ALL; use IEEE.STD_LOI_UNSINED.ALL; entity multiplier66_ is Port ( X : in std_logic_vector(7 downto ; Y : in std_logic_vector(7 downto ; one : in std_logic; 4

47 zero : in std_logic; Z : out std_logic_vector(4 downto ; end multiplier66_; architecture Behavioral of multiplier66_ is component Booth_six is Port ( X : in std_logic_vector(5 downto ; X_minus : in std_logic; Y : in std_logic_vector(5 downto ; Y_minus : in std_logic; A : out std_logic_vector(5 downto ; A : out std_logic_vector(5 downto ; A : out std_logic_vector(5 downto ; A_bar_g : out std_logic; A_bar_g : out std_logic; A_bar_g : out std_logic; : out std_logic; : out std_logic; : out std_logic; end component; component Wallace_tree_six is Port ( A A : in std_logic_vector(5 downto ; : in std_logic_vector(5 downto ; A : in std_logic_vector(5 downto ; A_bar : in std_logic; A_bar : in std_logic; A_bar : in std_logic; : in std_logic; : in std_logic; : in std_logic; one : in std_logic; zero : in std_logic; S : out std_logic_vector( downto ; _out : out std_logic_vector(9 downto ; 43

48 end component; _minus : out std_logic; component BLA_six is Port ( A : in std_logic_vector( downto ; B : in std_logic_vector( downto ; g_minus : in std_logic; q_minus : in std_logic; g_minus_bar : in std_logic; q_minus_bar : in std_logic; g_minus : in std_logic; q_minus : in std_logic; g_minus_bar : in std_logic; q_minus_bar : in std_logic; _minus : in std_logic; S : out std_logic_vector(downto ; end component; signal A, A, A : std_logic_vector(5 downto ; signal A_bar_g, A_bar_g, A_bar_g,,,, _minus : std_logic; signal S, : std_logic_vector( downto ; signal _out : std_logic_vector(9 downto ; begin compbooth : Booth_six port map (X(5 downto, zero, Y(5 downto, zero, A(5 downto, A(5 downto, A(5 downto, A_bar_g, A_bar_g, A_bar_g,,, ; compwallace : Wallace_tree_six port map (A(5 downto, A(5 downto, A(5 downto, A_bar_g, A_bar_g, A_bar_g,,,, one, zero, S( downto, _out(9 downto, _minus; < _out(9 downto & _minus; compbla : BLA_six port map (S( downto, ( downto, zero, one, one, zero, zero, one, one, zero, one, 44

49 Z( downto ; end Behavioral; VHDL library IEEE; use IEEE.STD_LOI_64.ALL; use IEEE.STD_LOI_ARITH.ALL; use IEEE.STD_LOI_UNSINED.ALL; entity multiplier66_rb is Port ( X : in std_logic_vector( downto ; Y : in std_logic_vector( downto ; zero : in std_logic; Z : outstd_logic_vector(3 downto ; end multiplier66_rb; architecture rtl of multiplier66_rb is component RBcell Port ( A : in std_logic_vector( downto ; B : in std_logic_vector( downto ; A_minus : in std_logic; B_minus : in std_logic; _minus : in std_logic_vector( downto ; S : out std_logic_vector( downto ; : out std_logic_vector( downto ; end component; signal XY, XY, XY3, XY4, XY5, XY, XY, XY, XY3, XY4, XY5, XY, XY, XY, XY3, XY4, XY5, X3Y, X3Y, X3Y, X3Y3, X3Y4, X3Y5, X4Y, X4Y, X4Y, X4Y3, X4Y4, X4Y5, X5Y, X5Y, X5Y, X5Y3, X5Y4, X5Y5,,,, 3, 4, 5, 6, 7, 8, 9,,,, 3, 4, 5, 6, 7, 8, 9,,,, 3, 4, 5, 6, 7, 8, 9, 3, 3, 45

50 3, 33, S, S, S, S3, S4, S5, S6, S7, S8, S9, S, S, S, S3, S4, S5, S6, S7, S8, S9, S, S, S, zz : std_logic_vector( downto ; begin Z( < (X( nand Y( nand (X( nand Y(; Z( < (X( nand Y( nand (X( nand Y(; XY( < (X( 3 nand Y( XY( < (X(3 nand Y( XY( < (X(5 nand Y( nand (X( nand Y(; nand (X( nand Y(; nand (X(4 nand Y(; XY( < (X(5 nand Y( nand (X(4 nand Y(; X3Y( < (X(7 nand Y( nand (X(6 nand Y(; X3Y( < (X(7 nand Y( X4Y( < (X(9 nand Y( X4Y( < (X(9 nand Y( nand (X(6 nand Y(; nand (X(8 nand Y(; nand (X(8 nand Y(; X5Y( < (X( nand Y( X5Y( < (X( nand Y( nand (X( nand Y(; nand (X( nand Y(; XY( < (X( nand Y(3 nand (X( nand Y(; XY( < (X( nand Y( XY( < (X(3 nand Y(3 nand (X( nand Y(3; nand (X( nand Y(; XY( < (X(3 nand Y( nand (X( nand Y(3; XY( < (X(5 nand Y(3 nand (X(4 nand Y(; XY( < (X(5 nand Y( X3Y( < (X(7 nand Y(3 X3Y( < (X(7 nand Y( X4Y( < (X(9 nand Y(3 X4Y( < (X(9 nand Y( X5Y( < (X( nand Y(3 X5Y( < (X( nand Y( nand (X(4 nand Y(3; nand (X(6 nand Y(; nand (X(6 nand Y(3; nand (X(8 nand Y(; nand (X(8 nand Y(3; nand (X( nand Y(; nand (X( nand Y(3; XY( < (X( nand Y(5 nand (X( nand Y(4; XY( < (X( nand Y(4 nand (X( nand Y(5; XY( < (X(3 nand Y(5 nand (X( nand Y(4; XY( < (X(3 nand Y(4 nand (X( nand Y(5; XY( < (X(5 nand Y(5 nand (X(4 nand Y(4; XY( < (X(5 nand Y(4 nand (X(4 nand Y(5; X3Y( < (X(7 nand Y(5 nand (X(6 nand Y(4; 46

51 X3Y( < (X(7 nand Y(4 nand (X(6 nand Y(5; X4Y( < (X(9 nand Y(5 nand (X(8 nand Y(4; X4Y( < (X(9 nand Y(4 nand (X(8 nand Y(5; X5Y( < (X( nand Y(5 nand (X( nand Y(4; X5Y( < (X( nand Y(4 nand (X( nand Y(5; XY3( < (X( nand Y(7 nand (X( nand Y(6; XY3( < (X( nand Y(6 nand (X( nand Y(7; XY3( < (X(3 nand Y(7 nand (X( nand Y(6; XY3( < (X(3 nand Y(6 nand (X( nand Y(7; XY3( < (X(5 nand Y(7 nand (X(4 nand Y(6; XY3( < (X(5 nand Y(6 nand (X(4 nand Y(7; X3Y3( < (X(7 nand Y(7 nand (X(6 nand Y(6; X3Y3( < (X(7 nand Y(6 nand (X(6 nand Y(7; X4Y3( < (X(9 nand Y(7 nand (X(8 nand Y(6; X4Y3( < (X(9 nand Y(6 nand (X(8 nand Y(7; X5Y3( < (X( nand Y(7 nand (X( nand Y(6; X5Y3( < (X( nand Y(6 nand (X( nand Y(7; XY4( < (X( nand Y(9 nand (X( nand Y(8; XY4( < (X( nand Y(8 nand (X( nand Y(9; XY4( < (X(3 nand Y(9 nand (X( nand Y(8; XY4( < (X(3 nand Y(8 nand (X( nand Y(9; XY4( < (X(5 nand Y(9 nand (X(4 nand Y(8; XY4( < (X(5 nand Y(8 nand (X(4 nand Y(9; X3Y4( < (X(7 nand Y(9 nand (X(6 nand Y(8; X3Y4( < (X(7 nand Y(8 nand (X(6 nand Y(9; X4Y4( < (X(9 nand Y(9 nand (X(8 nand Y(8; X4Y4( < (X(9 nand Y(8 nand (X(8 nand Y(9; X5Y4( < (X( nand Y(9 nand (X( nand Y(8; X5Y4( < (X( nand Y(8 nand (X( nand Y(9; XY5( < (X( nand Y( nand (X( nand Y(; XY5( < (X( nand Y( nand (X( nand Y(; XY5( < (X(3 nand Y( nand (X( nand Y(; XY5( < (X(3 nand Y( nand (X( nand Y(; XY5( < (X(5 nand Y( nand (X(4 nand Y(; XY5( < (X(5 nand Y( nand (X(4 nand Y(; X3Y5( < (X(7 nand Y( nand (X(6 nand Y(; 47

52 X3Y5( < (X(7 nand Y( nand (X(6 nand Y(; X4Y5( < (X(9 nand Y( nand (X(8 nand Y(; X4Y5( < (X(9 nand Y( nand (X(8 nand Y(; X5Y5( < (X( nand Y( nand (X( nand Y(; X5Y5( < (X( nand Y( nand (X( nand Y(; zz < zero & zero; comp : RBcell port map(xy, XY, zero, zero, zz, Z(3 downto, ; comp : RBcell port map(xy, XY, XY(, XY(,, S, ; comp : RBcell port map(xy, X3Y, XY(, XY(,, S, ; comp3 : RBcell port map(x3y, X4Y, XY(, X3Y(,, S, 3; comp4 : RBcell port map(x4y, X5Y, X3Y(, X4Y(, 3, S3, 4; comp5 : RBcell port map(x5y, zz, X4Y(, X5Y(, 4, S4, 5; comp6 : RBcell port map(xy3, XY, zero, zero, zz, S5, 6; comp7 : RBcell port map(xy3, XY, XY3(, XY(, 6, S6, 7; comp8 : RBcell port map(xy3, X3Y, XY3(, XY(, 7, S7, 8; comp9 : RBcell port map(x3y3, X4Y, XY3(, X3Y(, 8, S8, 9; comp : RBcell port map(x4y3, X5Y, X3Y3(, X4Y(, 9, S9, ; comp : RBcell port map(x5y3, zz, X4Y3(, X5Y(,, S, ; comp : RBcell port map(s, XY, zero, zero, zz, Z(5 downto 4, ; comp3 : RBcell port map(s, S5, S(, XY(,, Z(7 downto 6, 3; comp4 : RBcell port map(s, S6, S(, S5(, 3, S, 4; comp5 : RBcell port map(s3, S7, S(, S6(, 4, S, 5; comp6 : RBcell port map(s4, S8, S3(, S7(, 5, S3, 6; comp7 : RBcell port map(5, S9, S4(, S8(, 6, S4, 7; comp8 : RBcell port map(zz, S, 5(, S9(, 7, S5, 8; comp9 : RBcell port map(zz,, zero, S(, 8, S6, 9; comp : RBcell port map(xy5, XY4, zero, zero, zz, S7, ; comp : RBcell port map(xy5, XY4, XY5(, XY4(,, S8, ; comp : RBcell port map(xy5, X3Y4, XY5(, XY4(,, S9, ; comp3 : RBcell port map(x3y5, X4Y4, XY5(, X3Y4(,, S, 3; comp4 : RBcell port map(x4y5, X5Y4, X3Y5(, X4Y4(, 3, S, 4; comp5 : RBcell port map(x5y5, zz, X4Y5(, X5Y4(, 4, S, 5; comp6 : RBcell port map(s, XY4, zero, zero, zz, Z(9 downto 8, 6; comp7 : RBcell port map(s, S7, S(, XY4(, 6, Z( downto, 7; 48

53 comp8 : RBcell port map(s3, S8, S(, S7(, 7, Z(3 downto, 8; comp9 : RBcell port map(s4, S9, S3(, S8(, 8, Z(5 downto 4, 9; comp3 : RBcell port map(s5, S, S4(, S9(, 9, Z(7 downto 6, 3; comp3 : RBcell port map(s6, S, S5(, S(, 3, Z(9 downto 8, 3; comp3 : RBcell port map(9, S, S6(, S(, 3, Z( downto, 3; comp33 : RBcell port map(zz, 5, 9(, S(, 3, Z(3 downto, 33; end rtl;

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Housing Purchase by Single Women in Tokyo Yoshilehl YUI* Recently some single women purchase their houses and the number of houses owned by single women are increasing in Tokyo. And their housing demands

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

7,, i

7,, i 23 Research of the authentication method on the two dimensional code 1145111 2012 2 13 7,, i Abstract Research of the authentication method on the two dimensional code Karita Koichiro Recently, the two

More information

(Requirements in communication) (efficiently) (Information Theory) (certainly) (Coding Theory) (safely) (Cryptography) I 1

(Requirements in communication) (efficiently) (Information Theory) (certainly) (Coding Theory) (safely) (Cryptography) I 1 (Requirements in communication) (efficiently) (Information Theory) (certainly) (oding Theory) (safely) (ryptography) I 1 (Requirements in communication) (efficiently) (Information Theory) (certainly) (oding

More information

21 Key Exchange method for portable terminal with direct input by user

21 Key Exchange method for portable terminal with direct input by user 21 Key Exchange method for portable terminal with direct input by user 1110251 2011 3 17 Diffie-Hellman,..,,,,.,, 2.,.,..,,.,, Diffie-Hellman, i Abstract Key Exchange method for portable terminal with

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

( )

( ) NAIST-IS-MT0851100 2010 2 4 ( ) CR CR CR 1980 90 CR Kerberos SSH CR CR CR CR CR CR,,, ID, NAIST-IS- MT0851100, 2010 2 4. i On the Key Management Policy of Challenge Response Authentication Schemes Toshiya

More information

先端社会研究 ★5★号/4.山崎

先端社会研究 ★5★号/4.山崎 71 72 5 1 2005 7 8 47 14 2,379 2,440 1 2 3 2 73 4 3 1 4 1 5 1 5 8 3 2002 79 232 2 1999 249 265 74 5 3 5. 1 1 3. 1 1 2004 4. 1 23 2 75 52 5,000 2 500 250 250 125 3 1995 1998 76 5 1 2 1 100 2004 4 100 200

More information

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for 1 2 3 3 1 Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for Mobile Terminals Kaoru Wasai 1 Fumio Sugai 2 Yosihiro Kita 3 Mi RangPark 3 Naonobu

More information

Visual Evaluation of Polka-dot Patterns Yoojin LEE and Nobuko NARUSE * Granduate School of Bunka Women's University, and * Faculty of Fashion Science,

Visual Evaluation of Polka-dot Patterns Yoojin LEE and Nobuko NARUSE * Granduate School of Bunka Women's University, and * Faculty of Fashion Science, Visual Evaluation of Polka-dot Patterns Yoojin LEE and Nobuko NARUSE * Granduate School of Bunka Women's University, and * Faculty of Fashion Science, Bunka Women's University, Shibuya-ku, Tokyo 151-8523

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

2011 Future University Hakodate 2011 System Information Science Practice Group Report Project Name Visualization of Code-Breaking RSA Group Name RSA C

2011 Future University Hakodate 2011 System Information Science Practice Group Report Project Name Visualization of Code-Breaking RSA Group Name RSA C 2011 Future University Hakodate 2011 System Information Science Practice Group Report Project Name RSA Group Name RSA Code Elliptic Curve Cryptograrhy Group /Project No. 13-B /Project Leader 1009087 Takahiro

More information

Journal of Geography 116 (6) Configuration of Rapid Digital Mapping System Using Tablet PC and its Application to Obtaining Ground Truth

Journal of Geography 116 (6) Configuration of Rapid Digital Mapping System Using Tablet PC and its Application to Obtaining Ground Truth Journal of Geography 116 (6) 749-758 2007 Configuration of Rapid Digital Mapping System Using Tablet PC and its Application to Obtaining Ground Truth Data: A Case Study of a Snow Survey in Chuetsu District,

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

浜松医科大学紀要

浜松医科大学紀要 On the Statistical Bias Found in the Horse Racing Data (1) Akio NODA Mathematics Abstract: The purpose of the present paper is to report what type of statistical bias the author has found in the horse

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

1 Web [2] Web [3] [4] [5], [6] [7] [8] S.W. [9] 3. MeetingShelf Web MeetingShelf MeetingShelf (1) (2) (3) (4) (5) Web MeetingShelf

1 Web [2] Web [3] [4] [5], [6] [7] [8] S.W. [9] 3. MeetingShelf Web MeetingShelf MeetingShelf (1) (2) (3) (4) (5) Web MeetingShelf 1,a) 2,b) 4,c) 3,d) 4,e) Web A Review Supporting System for Whiteboard Logging Movies Based on Notes Timeline Taniguchi Yoshihide 1,a) Horiguchi Satoshi 2,b) Inoue Akifumi 4,c) Igaki Hiroshi 3,d) Hoshi

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

1 Table 1: Identification by color of voxel Voxel Mode of expression Nothing Other 1 Orange 2 Blue 3 Yellow 4 SSL Humanoid SSL-Vision 3 3 [, 21] 8 325

1 Table 1: Identification by color of voxel Voxel Mode of expression Nothing Other 1 Orange 2 Blue 3 Yellow 4 SSL Humanoid SSL-Vision 3 3 [, 21] 8 325 社団法人人工知能学会 Japanese Society for Artificial Intelligence 人工知能学会研究会資料 JSAI Technical Report SIG-Challenge-B3 (5/5) RoboCup SSL Humanoid A Proposal and its Application of Color Voxel Server for RoboCup SSL

More information

Bull. of Nippon Sport Sci. Univ. 47 (1) Devising musical expression in teaching methods for elementary music An attempt at shared teaching

Bull. of Nippon Sport Sci. Univ. 47 (1) Devising musical expression in teaching methods for elementary music An attempt at shared teaching Bull. of Nippon Sport Sci. Univ. 47 (1) 45 70 2017 Devising musical expression in teaching methods for elementary music An attempt at shared teaching materials for singing and arrangements for piano accompaniment

More information

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi ODA Department of Human and Mechanical Systems Engineering,

More information

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System 1. (1) ( MMI ) 2. 3. MMI Personal Computer(PC) MMI PC 1 1 2 (%) (%) 100.0 95.2 100.0 80.1 2 % 31.3% 2 PC (3 ) (2) MMI 2 ( ),,,, 49,,p531-532,2005 ( ),,,,,2005,p66-p67,2005 17 Proposal of an Algorithm of

More information

2011 Future University Hakodate 2011 System Information Science Practice Group Report Project Name Visualization of Code-Breaking Group Name Implemati

2011 Future University Hakodate 2011 System Information Science Practice Group Report Project Name Visualization of Code-Breaking Group Name Implemati 2011 Future University Hakodate 2011 System Information Science Practice Group Report Project Name Group Name Implemation Group /Project No. 13-C /Project Leader 1009087 Takahiro Okubo /Group Leader 1009087

More information

1., 1 COOKPAD 2, Web.,,,,,,.,, [1]., 5.,, [2].,,.,.,, 5, [3].,,,.,, [4], 33,.,,.,,.. 2.,, 3.., 4., 5., ,. 1.,,., 2.,. 1,,

1., 1 COOKPAD 2, Web.,,,,,,.,, [1]., 5.,, [2].,,.,.,, 5, [3].,,,.,, [4], 33,.,,.,,.. 2.,, 3.., 4., 5., ,. 1.,,., 2.,. 1,, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.,, 464 8601 470 0393 101 464 8601 E-mail: matsunagah@murase.m.is.nagoya-u.ac.jp, {ide,murase,hirayama}@is.nagoya-u.ac.jp,

More information

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels).

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig. 1 The scheme of glottal area as a function of time Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig, 4 Parametric representation

More information

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth and Foot Breadth Akiko Yamamoto Fukuoka Women's University,

More information

28 SAS-X Proposal of Multi Device Authenticable Password Management System using SAS-X 1195074 2017 2 3 SAS-X Web ID/ ID/ Web SAS-2 SAS-X i Abstract Proposal of Multi Device Authenticable Password Management

More information

橡卒論.PDF

橡卒論.PDF 1329 1 1 2 2 2.1 2 2.1.1 1 2 2.1.2 2 4 2.1.3 3 6 2.2 8 2.2.1 8 2.2.2 12 2.3Booth 13 3 16 3.1 16 3.1.1Robertson 16 3.1.2Booth 18 3.2 19 3.2.1 19 3.2.2Wallace tree 21 3.3 25 3.4 27 3.4.1 4 27 3.4.22 Booth

More information

SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i

SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i 20 SOM Development of Syllabus Vsualization System using Spherical Self-Organizing Maps 1090366 2009 3 5 SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i Abstract Development of Syllabus Vsualization

More information

Web Basic Web SAS-2 Web SAS-2 i

Web Basic Web SAS-2 Web SAS-2 i 19 Development of moving image delivery system for elementary school 1080337 2008 3 10 Web Basic Web SAS-2 Web SAS-2 i Abstract Development of moving image delivery system for elementary school Ayuko INOUE

More information

untitled

untitled Studies in Human Geography 32 Geoenvironmental Sciences, Graduate School of Life and Environmental Sciences, University of Tsukuba, Japan ArcGIS Geography Network ArcGIS ArcGIS ArcGIS Geography Network

More information

21 Effects of background stimuli by changing speed color matching color stimulus

21 Effects of background stimuli by changing speed color matching color stimulus 21 Effects of background stimuli by changing speed color matching color stimulus 1100274 2010 3 1 ,.,,.,.,.,,,,.,, ( FL10N-EDL). ( 10cm, 2cm),,, 3.,,,, 4., ( MSS206-402W2J), ( SDM496)., 1200r/min,1200r/min

More information

2 ( ) i

2 ( ) i 25 Study on Rating System in Multi-player Games with Imperfect Information 1165069 2014 2 28 2 ( ) i ii Abstract Study on Rating System in Multi-player Games with Imperfect Information Shigehiko MORITA

More information

Web Stamps 96 KJ Stamps Web Vol 8, No 1, 2004

Web Stamps 96 KJ Stamps Web Vol 8, No 1, 2004 The Journal of the Japan Academy of Nursing Administration and Policies Vol 8, No 1, pp 43 _ 57, 2004 The Literature Review of the Japanese Nurses Job Satisfaction Research Which the Stamps-Ozaki Scale

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

soturon.dvi

soturon.dvi 12 Exploration Method of Various Routes with Genetic Algorithm 1010369 2001 2 5 ( Genetic Algorithm: GA ) GA 2 3 Dijkstra Dijkstra i Abstract Exploration Method of Various Routes with Genetic Algorithm

More information

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple 1 2 3 4 5 e β /α α β β / α A judgment method of difficulty of task for a learner using simple electroencephalograph Katsuyuki Umezawa 1 Takashi Ishida 2 Tomohiko Saito 3 Makoto Nakazawa 4 Shigeichi Hirasawa

More information

修士論文

修士論文 2004 Decay of timber and its mechanical characteristic 1075015 1075015 1. 1 1. 2. 3. 4. 5. 4.5. 2. JIS 3. 3.1 3.1.1 ( ) ( ) i 3.1.2 3.1.3 10 3.2 ( 3% ) 4 3% ii Decay of timber and its mechanical characteristic

More information

大学における原価計算教育の現状と課題

大学における原価計算教育の現状と課題 1 1.1 1.2 1.3 2 2.1 2.2 3 3.1 3.2 3.3 2014a 50 ABC Activity Based Costing LCC Lifecycle Costing MFCA Material Flow Cost Accounting 2 2 2016 9 1 2 3 2014b 2005 2014b 2000 1 2 1962 5 1 3 2 3 4 5 50 2012

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

VBI VBI FM FM FM FM FM DARC DARC

VBI VBI FM FM FM FM FM DARC DARC 14 2 7 2.1 2.1.1 2.1.2 2.1.3 2.1.3.1 VBI 2.1.3.2 VBI 2.1.4 2.1.5 2.1.6 10 2.FM 11 2.2.1 FM 11 2.2.2 FM 11 2.2.3FM 13 2.2.4 FM DARC 14 2.2.4.1 DARC 14 2.2.4.2 DARC 14 17 3.1 17 3.1.1 parity 17 3.1.2 18

More information

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat AUTOSAR 1 1, 2 2 2 AUTOSAR AUTOSAR 3 2 2 41% 29% An Extension of AUTOSAR Communication Layers for Multicore Systems Toshiyuki Ichiba, 1 Hiroaki Takada, 1, 2 Shinya Honda 2 and Ryo Kurachi 2 AUTOSAR, a

More information

paper.dvi

paper.dvi 28 Confined Decoding System for Medical Data Distributed by Secret Sharing Scheme and Its Security Evaluation 1195046 2017 3 6 DMAT i Abstract Confined Decoding System for Medical Data Distributed by Secret

More information

IPSJ SIG Technical Report Vol.2012-IS-119 No /3/ Web A Multi-story e-picture Book with the Degree-of-interest Extraction Function

IPSJ SIG Technical Report Vol.2012-IS-119 No /3/ Web A Multi-story e-picture Book with the Degree-of-interest Extraction Function 1 2 2 3 4 2 Web A Multi-story e-picture Book with the Degree-of-interest Extraction Function Kunimichi Shibata, 1 Masakuni Moriyama, 2 Kazuhide Yukawa, 2 Koji Ueno, 3 Kazuo Takahashi 4 and Shigeo Kaneda

More information

_念3)医療2009_夏.indd

_念3)医療2009_夏.indd Evaluation of the Social Benefits of the Regional Medical System Based on Land Price Information -A Hedonic Valuation of the Sense of Relief Provided by Health Care Facilities- Takuma Sugahara Ph.D. Abstract

More information

2007-Kanai-paper.dvi

2007-Kanai-paper.dvi 19 Estimation of Sound Source Zone using The Arrival Time Interval 1080351 2008 3 7 S/N 2 2 2 i Abstract Estimation of Sound Source Zone using The Arrival Time Interval Koichiro Kanai The microphone array

More information

06’ÓŠ¹/ŒØŒì

06’ÓŠ¹/ŒØŒì FD. FD FD FD FD FD FD / Plan-Do-See FD FD FD FD FD FD FD FD FD FD FD FD FD FD JABEE FD A. C. A B .. AV .. B Communication Space A FD FD ES FD FD The approach of the lesson improvement in Osaka City University

More information

041-057_’¼Œì

041-057_’¼Œì 542012 4157 Nishino Toshiaki The purpose of this paper is to analyze the present conditions of the mountain villages of Japan in the early 21 st century. The revolution of fuel sources from a predominance

More information

1 1 tf-idf tf-idf i

1 1 tf-idf tf-idf i 14 A Method of Article Retrieval Utilizing Characteristics in Newspaper Articles 1055104 2003 1 31 1 1 tf-idf tf-idf i Abstract A Method of Article Retrieval Utilizing Characteristics in Newspaper Articles

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

1 UD Fig. 1 Concept of UD tourist information system. 1 ()KDDI UD 7) ) UD c 2010 Information Processing S

1 UD Fig. 1 Concept of UD tourist information system. 1 ()KDDI UD 7) ) UD c 2010 Information Processing S UD 1 2 3 4 1 UD UD UD 2008 2009 Development and Evaluation of UD Tourist Information System Using Mobile Phone to Heritage Park HISASHI ICHIKAWA, 1 HIROYUKI FUKUOKA, 2 YASUNORI OSHIDA, 3 TORU KANO 4 and

More information

1 I/F I/F 1 6) MobileIP 7) 8) MN: Monile Node MN AR Mobility Anchor Point(MAP) MobileIP HMIP HMIP HA-MAP MN MAP MN MAP HMIP MAP MN 2 MobileIP Mo

1 I/F I/F 1 6) MobileIP 7) 8) MN: Monile Node MN AR Mobility Anchor Point(MAP) MobileIP HMIP HMIP HA-MAP MN MAP MN MAP HMIP MAP MN 2 MobileIP Mo 1 2 2 I/F Mobility Anchor Point MAP Composite Wireless Access Networks on Mobile Communications and Its Evaluation Abstract: In emerging wireless communication environments, mobile terminals that have

More information

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2 CHLAC 1 2 3 3,. (CHLAC), 1).,.,, CHLAC,.,. Suspicious Behavior Detection based on CHLAC Method Hideaki Imanishi, 1 Toyohiro Hayashi, 2 Shuichi Enokida 3 and Toshiaki Ejima 3 We have proposed a method for

More information

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6)

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6) 1 2 1 3 Experimental Evaluation of Convenient Strain Measurement Using a Magnet for Digital Public Art Junghyun Kim, 1 Makoto Iida, 2 Takeshi Naemura 1 and Hiroyuki Ota 3 We present a basic technology

More information

The 15th Game Programming Workshop 2010 Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard Magic Bitboard Magic Bitbo

The 15th Game Programming Workshop 2010 Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard Magic Bitboard Magic Bitbo Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard 64 81 Magic Bitboard Magic Bitboard Bonanza Proposal and Implementation of Magic Bitboards in Shogi Issei Yamamoto, Shogo Takeuchi,

More information

06_学術_技師の現状および将来需要_武藤様1c.indd

06_学術_技師の現状および将来需要_武藤様1c.indd Arts and Sciences Employment environment of Radiological Technologists 1 2 1 2 1 2 1 2 Key words: Starting salary Demands and supplies Radiological Technologists, Job postings Summary The purpose of this

More information

RTM RTM Risk terrain terrain RTM RTM 48

RTM RTM Risk terrain terrain RTM RTM 48 Risk Terrain Model I Risk Terrain Model RTM,,, 47 RTM RTM Risk terrain terrain RTM RTM 48 II, RTM CSV,,, RTM Caplan and Kennedy RTM Risk Terrain Modeling Diagnostics RTMDx RTMDx RTMDx III 49 - SNS 50 0

More information

(43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND

(43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND (43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND DRYING PROCESSES* By Hiroshi Aotani, Katsumi Yamazaki

More information

The Journal of the Japan Academy of Nursing Administration and Policies Vol 7, No 2, pp 19 _ 30, 2004 Survey on Counseling Services Performed by Nursi

The Journal of the Japan Academy of Nursing Administration and Policies Vol 7, No 2, pp 19 _ 30, 2004 Survey on Counseling Services Performed by Nursi The Journal of the Japan Academy of Nursing Administration and Policies Vol 7, No 2, pp 19 _ 30, 2004 Survey on Counseling Services Performed by Nursing Professionals for Diabetic Outpatients Not Using

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

Q [4] 2. [3] [5] ϵ- Q Q CO CO [4] Q Q [1] i = X ln n i + C (1) n i i n n i i i n i = n X i i C exploration exploitation [4] Q Q Q ϵ 1 ϵ 3. [3] [5] [4]

Q [4] 2. [3] [5] ϵ- Q Q CO CO [4] Q Q [1] i = X ln n i + C (1) n i i n n i i i n i = n X i i C exploration exploitation [4] Q Q Q ϵ 1 ϵ 3. [3] [5] [4] 1,a) 2,3,b) Q ϵ- 3 4 Q greedy 3 ϵ- 4 ϵ- Comparation of Methods for Choosing Actions in Werewolf Game Agents Tianhe Wang 1,a) Tomoyuki Kaneko 2,3,b) Abstract: Werewolf, also known as Mafia, is a kind of

More information

ネットワーク化するデジタル情報家電の動向

ネットワーク化するデジタル情報家電の動向 In this broadband era, Information Technology has started at a market targeting home consumers, and upon gaining good achievements there would move on to be used in the business and enterprise markets.

More information

ON A FEW INFLUENCES OF THE DENTAL CARIES IN THE ELEMENTARY SCHOOL PUPIL BY Teruko KASAKURA, Naonobu IWAI, Sachio TAKADA Department of Hygiene, Nippon Dental College (Director: Prof. T. Niwa) The relationship

More information

(MIRU2008) HOG Histograms of Oriented Gradients (HOG)

(MIRU2008) HOG Histograms of Oriented Gradients (HOG) (MIRU2008) 2008 7 HOG - - E-mail: katsu0920@me.cs.scitec.kobe-u.ac.jp, {takigu,ariki}@kobe-u.ac.jp Histograms of Oriented Gradients (HOG) HOG Shape Contexts HOG 5.5 Histograms of Oriented Gradients D Human

More information

29 33 58 2005 1970 1997 2002, pp.3-8 2001 2002 2005b 2000 pp.137-146 2005c 7 34 Ma and Cartier eds. 2003 1970 1980 1979 2002 2000 1) 1980 1990 1991 1993 1995 1998 1994 1993 20031972 2003 2005 1997 2005a

More information

untitled

untitled 総研大文化科学研究第 6 号 (2010) 65 ... 66 佐貫 丘浅次郎の 進化論講話 における変化の構造 67 68 佐貫丘浅次郎の 進化論講話 における変化の構造 69 E 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 70 佐貫 丘浅次郎の 進化論講話 における変化の構造 71 72 佐貫丘浅次郎の 進化論講話 における変化の構造 73 74 佐貫丘浅次郎の 進化論講話

More information

303 Human Factors in Nuclear Power Plant with Focus on Application of Man-machine Interface and Advanced Data Processing Technologies to Nuclear Power Industry Abstract We discuss issues involved in a

More information

11_渡辺_紀要_2007

11_渡辺_紀要_2007 56 1 19 Bull. Nara Univ. Educ., Vol. 56, No.1 (Cult. & Soc.), 2007 115 19 Why is Kidney Dysfunction Caused by Cadmium (Cadmium Nephropathy) not Officially Recognized as a Pollution-related Disease by the

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

ID 3) 9 4) 5) ID 2 ID 2 ID 2 Bluetooth ID 2 SRCid1 DSTid2 2 id1 id2 ID SRC DST SRC 2 2 ID 2 2 QR 6) 8) 6) QR QR QR QR

ID 3) 9 4) 5) ID 2 ID 2 ID 2 Bluetooth ID 2 SRCid1 DSTid2 2 id1 id2 ID SRC DST SRC 2 2 ID 2 2 QR 6) 8) 6) QR QR QR QR Vol. 51 No. 11 2081 2088 (Nov. 2010) 2 1 1 1 which appended specific characters to the information such as identification to avoid parity check errors, before QR Code encoding with the structured append

More information

16_.....E...._.I.v2006

16_.....E...._.I.v2006 55 1 18 Bull. Nara Univ. Educ., Vol. 55, No.1 (Cult. & Soc.), 2006 165 2002 * 18 Collaboration Between a School Athletic Club and a Community Sports Club A Case Study of SOLESTRELLA NARA 2002 Rie TAKAMURA

More information

平常時火災における消火栓の放水能力に関する研究

平常時火災における消火栓の放水能力に関する研究 Discharge Ability of Hydrant to Ordinary Fire Yoshiro Namba *1,Kenjiro Yasuno *2,Yoshiteru Murosaki *3,Akihiko Hokugo *4, Masahiro Fujiwara *5, Akihiro Kasuya *6,Hideo Matsuoka* 7 * 1 Department of Architecture,

More information

Vol.54 No (July 2013) [9] [10] [11] [12], [13] 1 Fig. 1 Flowchart of the proposed system. c 2013 Information

Vol.54 No (July 2013) [9] [10] [11] [12], [13] 1 Fig. 1 Flowchart of the proposed system. c 2013 Information Vol.54 No.7 1937 1950 (July 2013) 1,a) 2012 11 1, 2013 4 5 1 Similar Sounds Sentences Generator Based on Morphological Analysis Manner and Low Class Words Masaaki Kanakubo 1,a) Received: November 1, 2012,

More information

EVALUATION OF NOCTURNAL PENILE TUMESCENCE (NPT) IN THE DIFFERENTIAL DIAGNOSIS OF IMPOTENCE Masaharu Aoki, Yoshiaki Kumamoto, Kazutomi Mohri and Kazunori Ohno Department of Urology, Sapporo Medical College

More information

28 TCG SURF Card recognition using SURF in TCG play video

28 TCG SURF Card recognition using SURF in TCG play video 28 TCG SURF Card recognition using SURF in TCG play video 1170374 2017 3 2 TCG SURF TCG TCG OCG SURF Bof 20 20 30 10 1 SURF Bag of features i Abstract Card recognition using SURF in TCG play video Haruka

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

56

56 56 55 8.52010 1000 3500 2000 2000140 1902 1993 1953 2011 20012010 55 2008:99 1 4 1995 12 1949 1984 3 55 2008 1 2 3 3 1 2 3 123 19961998a1998b2001 19961998a1998b 2001 600 420 1947 2 2010 2 2.470 422 17

More information

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new electric wave projector is proposed in this paper. The

More information

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us 1,a) 1 1 1 1 2 2 2011 8 10, 2011 12 2 1 Bluetooth 36 2 3 10 70 34 A Health Management Service by Cell Phones and Its Usability Evaluation Naofumi Yoshida 1,a) Daigo Matsubara 1 Naoki Ishibashi 1 Nobuo

More information

2 except for a female subordinate in work. Using personal name with SAN/KUN will make the distance with speech partner closer than using titles. Last

2 except for a female subordinate in work. Using personal name with SAN/KUN will make the distance with speech partner closer than using titles. Last 1 北陸大学 紀要 第33号 2009 pp. 173 186 原著論文 バーチャル世界における呼びかけ語の コミュニケーション機能 ポライトネス理論の観点からの考察 劉 艶 The Communication Function of Vocative Terms in Virtual Communication: from the Viewpoint of Politeness Theory Yan

More information

( 9 1 ) 1 2 1.1................................... 2 1.2................................................. 3 1.3............................................... 4 1.4...........................................

More information

March IT PR March March p p p PR March Vol. March p p p SN March SN PR PR March Potential Needs of Specialized Foster Parents for Abused Children: Analyzinga questionnaire survey on foster parents needs

More information

Sport and the Media: The Close Relationship between Sport and Broadcasting SUDO, Haruo1) Abstract This report tries to demonstrate the relationship be

Sport and the Media: The Close Relationship between Sport and Broadcasting SUDO, Haruo1) Abstract This report tries to demonstrate the relationship be Sport and the Media: The Close Relationship between Sport and Broadcasting SUDO, Haruo1) Abstract This report tries to demonstrate the relationship between broadcasting and sport (major sport and professional

More information

When creating an interactive case scenario of a problem that may occur in the educational field, it becomes especially difficult to assume a clear obj

When creating an interactive case scenario of a problem that may occur in the educational field, it becomes especially difficult to assume a clear obj PBL PBL Education of Teacher Training Using Interactive Case Scenario Takeo Moriwaki (Faculty of Education, Mie University) Yasuhiko Yamada (Faculty of Education, Mie University) Chikako Nezu (Faculty

More information

IPSJ SIG Technical Report Vol.2014-HCI-158 No /5/22 1,a) 2 2 3,b) Development of visualization technique expressing rainfall changing conditions

IPSJ SIG Technical Report Vol.2014-HCI-158 No /5/22 1,a) 2 2 3,b) Development of visualization technique expressing rainfall changing conditions 1,a) 2 2 3,b) Development of visualization technique expressing rainfall changing conditions with a still picture Yuuki Hyougo 1,a) Hiroko Suzuki 2 Tadanobu Furukawa 2 Kazuo Misue 3,b) Abstract: In order

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

08医療情報学22_1_水流final.PDF

08医療情報学22_1_水流final.PDF 22 (1), 702002: 59 59- The Problem of Nursing Common Language for the Information Sharing in Clinical Practice The fact-finding in regard to the correspondence between name and content of nursing action

More information

IPSJ SIG Technical Report Vol.2012-CG-148 No /8/29 3DCG 1,a) On rigid body animation taking into account the 3D computer graphics came

IPSJ SIG Technical Report Vol.2012-CG-148 No /8/29 3DCG 1,a) On rigid body animation taking into account the 3D computer graphics came 3DCG 1,a) 2 2 2 2 3 On rigid body animation taking into account the 3D computer graphics camera viewpoint Abstract: In using computer graphics for making games or motion pictures, physics simulation is

More information

2 22006 2 e-learning e e 2003 1 4 e e e-learning 2 Web e-leaning 2004 2005 2006 e 4 GP 4 e-learning e-learning e-learning e LMS LMS Internet Navigware

2 22006 2 e-learning e e 2003 1 4 e e e-learning 2 Web e-leaning 2004 2005 2006 e 4 GP 4 e-learning e-learning e-learning e LMS LMS Internet Navigware 2 2 Journal of Multimedia Aided Education Research 2006, Vol. 2, No. 2, 19 e 1 1 2 2 1 1 GP e 2004 e-learning 2004 e-learning 2005 e-learning e-learning e-learning e-learning 2004 e-learning HuWeb 2005

More information

日本感性工学会論文誌

日本感性工学会論文誌 pp.343-351 2013 Changes in Three Attributes of Color by Reproduction of Memorized Colors Hiroaki MIYAKE, Takeshi KINOSHITA and Atsushi OSA Graduate School of Science and Engineering, Yamaguchi University,

More information

Vol. 42 No. SIG 8(TOD 10) July HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Spe

Vol. 42 No. SIG 8(TOD 10) July HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Spe Vol. 42 No. SIG 8(TOD 10) July 2001 1 2 3 4 HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Speed Networks Yutaka Kidawara, 1 Tomoaki Kawaguchi, 2

More information

Fig. 1. Example of characters superimposed on delivery slip.

Fig. 1. Example of characters superimposed on delivery slip. Extraction of Handwritten Character String Superimposed on Delivery Slip Data Ken-ichi MATSUO, Non-member, Katsuhiko UEDA, Non-member (Nara National College of Technology), Michio UMEDA, Member (Osaka

More information

49148

49148 Research in Higher Education - Daigaku Ronshu No.24 (March 1995) 77 A Study of the Process of Establishing the Student Stipend System in the Early Years of the PRC Yutaka Otsuka* This paper aims at explicating

More information