PIC24F_ADConberter

Size: px
Start display at page:

Download "PIC24F_ADConberter"

Transcription

1 第 章 ハイライト 本章では次のトピックについて説明します.1 はじめに A/D 用語と変換シーケンス 関連レジスタ A/D モジュールの構成設定 初期化 サンプリングプロセスの制御 変換プロセスの制御 A/D 結果バッファ 変換シーケンス例 A/D サンプリング要件 変換関数 A/D 精度 / 誤差 スリープとアイドルモード中の動作 リセットの影響 レジスタマップ 電気的仕様 設計の秘訣 関連するアプリケーションノート 改版履歴 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -1

2 PIC24F ファミリリファレンスマニュアル.1 はじめに PIC24F は次のような特徴を持っています 逐次変換型 (SAR) 最高 500 ksps の変換速度 最大 16 のアナログ入力チャネル 外部電圧リファレンス入力ピン 単極差動のサンプルホールド (S/H) アンプ 自動チャネルスキャンモード 変換トリガ要因は選択可能 16 ワードの変換結果用バッファ バッファ格納モードを選択可能 4 種の結果形式オプション CPU がスリープまたはアイドルモード中でも動作図 -1 は のブロック図です このには多ピンのもので AN0 から AN15 と呼ばれる最大 16 までのアナログ入力チャネルを持っています 実際のアナログ入力ピンと外部電圧リファレンス入力ピンの数は個別の PIC24F デバイスに依存します デバイス固有の詳細については個別の PIC24F データシートを参照してください 外部電圧リファレンス接続用に 2 つのアナログ入力ピン VREF+ と VREF- があります これらの電圧リファレンス入力は他のアナログ入力ピンと共用になっています A/D リファレンス電圧はソフトウェア制御により デバイス供給電圧 (AVDD/AVSS) か VREF+/VREF- ピンの電圧レベルのいずれかを選択できます アナログ入力は 2 つの独立したマルチプレクサ (MUX A と MUX B) 経由で S/H チャネルまたは CH0 と呼ばれる S/H 増幅器に接続されます これは に対して変換中 2 セットのアナログ入力間を切り替えます いずれの入力ピンを使用しても単極差動変換が可能です オプションでアナログ入力スキャンモードとすると 選択されたチャネルの範囲を自動的にシーケンシャルにスキャンし変換できます はサンプルと変換プロセスを制御する多くの手段を持っています サンプルと変換のトリガ要因は さまざまなハードウェア要因から得られ ソフトウェアで手動にて制御できます 自動サンプルモードと自動変換トリガを一緒に使うと ソフトウェアの介在なしでエンドレスに自動変換を行うことが可能となります モジュールレベルの割り込みが毎回のサンプル / 変換終了時か多重シーケンス後に生成されます 割り込みごとのシーケンス回数は 1 から 16 回のいずれかです は変換結果をデバイスのデータ空間にマップされた 16 ワードの内部データバッファに格納します 10 ビットの結果のそれぞれは 4 種類の 16 ビット出力フォーマットのうちの1つで格納されます DS39705A_JP - ページ -2 Advance Information 2007 Microchip Technology Inc.

3 第 章 図 -1: のブロック図 内部データバス AVDD VR 選択 VR+ VR- VR+ DAC VR- VINH VINL S/H AVSS VREF+ VREF- AN0 コンパレータ 16 AN1 AN2 AN3 AN4 MUX A (1) VINH 10 ヒ ット SAR 変換ロジック データ形式変換 AN5 VINL AN6 AN7 ADC1BUF0: ADC1BUFF AN8 AN9 AN10 AN11 AN12 AN13 AN14 AN15 MUX B VINH VINL サンプル制御 入力 MUX 制御ピン構成制御 AD1CON1 AD1CON2 AD1CON3 AD1CHS AD1PCFG AD1CSSL 制御ロジック 変換制御 注 1: MUX A のみが入力スキャンをサポートしています 詳細は AD1CSSL ( レジスタ -6) を参照して下さい 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -3

4 PIC24F ファミリリファレンスマニュアル.2 A/D 用語と変換シーケンス サンプル時間とは A/D モジュールの S/H 増幅器がアナログ入力ピンに接続されている時間です サンプル時間は 手動で あるいは A/D ハードウエアによる自動で開始し そして終了します S/H アンプが必要な A/D 精度を出すためには 最小のサンプル時間を確保する必要があります 変換時間とは A/D が S/H 増幅器のもつ電圧を変換するのに要する時間です 変換トリガによりサンプリング時間を終了し A/D 変換またはサンプル / 変換シーケンスが始まります 変換開始トリガは種々のハードウェア要因から得ることもできますし ソフトウェアによる手動制御も可能です A/D は 結果の 1 ビット分の変換に1 A/D クロックサイクル (TAD) を必要とし さらにもう 2 サイクル必要とします 従って 10 ビットの変換を完了するには合わせて 12 TAD サイクルが必要です 変換時間が完了すると 結果は 16 A/D 結果バッファのいずれかにロードされます S/H は入力ピンに再接続され さらに CPU 割り込みを発生します サンプル時間と A/D 変換時間の総計が総変換時間になります 図 -2 に基本的な変換シーケンスとインターバル間の関係を示します 変換開始トリガは種々のハードウェアから得ることもできますし ソフトウェアによる手動制御も可能です 変換トリガの一つのオプションは自動変換で カウンタと A/D クロックを使って自動変換間の時間を設定します 自動サンプルモードと自動変換トリガを一緒に使用すると ソフトウェアの介在なしでエンドレスに自動変換を行うことが可能となります 図 -2: A/D サンプル / 変換シーケンス A/D 合計変換時間 サンプル時間 A/D 変換時間 サンプリングのために S/H アンプがアナログ入力ピンに接続される 入力が CH0 から切り離され S/H アンプは信号を保持変換トリガにより A\D 変換開始 変換完了 結果は A/D バッファレジスタに格納される割り込み発生 ( オプション ) DS39705A_JP - ページ -4 Advance Information 2007 Microchip Technology Inc.

5 第 章.3 関連レジスタ モジュールの動作には 全部で 22 種のレジスタを使います 全てのレジスタがデータメモリ空間にマップされています.3.1 制御レジスタ モジュールは 6 個の制御とステータスのレジスタがあります AD1CON1: A/D 制御レジスタ 1 AD1CON2: A/D 制御レジスタ 2 AD1CON3: A/D 制御レジスタ 3 AD1CHS: A/D 入力チャネル選択レジスタ AD1PCFG: A/D ポート構成レジスタ AD1CSSL: A/D 入力スキャン選択レジスタ AD1CON1 AD1CON2 AD1CON3 レジスタ ( レジスタ -1 レジスタ -2 レジスタ -3) が A/D モジュールの全体動作を制御します これらには モジュールの有効化 変換クロック 電圧リファレンス源の設定 サンプリングと変換トリガの選択 サンプル / 変換シーケンスの手動制御などを含んでいます AD1CHS レジスタ ( レジスタ -4) で S\H アンプに接続する入力チャネルを選択します また入力マルチプレクサの選択と差動サンプルのリファレンス源の選択も行います AD1PCFG レジスタ ( レジスタ -5) で I/O ピンをアナログ入力にするか デジタル I/O にするかを設定します AD1CSSL レジスタ ( レジスタ -6) でシーケンシャルスキャンに含めるチャネルを選択します.3.2 A/D 結果バッファ モジュールには A/D 結果を格納する ADC1BUF と呼ばれる 16 ワードのデュアルポート RAM があります 各バッファごとにデータメモリ空間にマップされていて 個々にアドレス指定できます その 16 個のバッファの位置は ADC1BUF0 から ADC1BUFF として参照できます A/D 結果バッファは読み出し専用です 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -5

6 PIC24F ファミリリファレンスマニュアル レジスタ -1: AD1CON1: A/D 制御レジスタ 1 R/W-0 U-0 R/W-0 U-0 U-0 U-0 R/W-0 R/W-0 ADON ADSIDL FORM1 FORM0 ビット 15 ビット 8 R/W-0 R/W-0 R/W-0 U-0 U-0 R/W-0 R/W-0, HCS R/C-0, HCS SSRC2 SSRC1 SSRC0 ASAM SAMP DONE ビット 7 ビット 0 Legend: U = 未実装 読むと 0 R = 読み出し可 W = 書き込み可 HCS = ハードウェアでクリア / セット -n = POR 後の値 1 = セット 0 = クリア x = 不定 ビット 15 ADON: A/D 動作モードビット 1 = A/D モジュールは動作中 0 = A/D は無効ビット 14 未実装 : 読むと 0 ビット 13 ADSIDL: アイドルモード時停止ビット 1 = デバイスがアイドルモード中はモジュール動作停止 0 = アイドルモード中もモジュール動作継続ビット 未実装 : 読むと 0 ビット 9-8 FORM1:FORM0: データ出力形式ビット 11 = 符号付固定小数 (sddd dddd dd ) 10 = 固定小数 (dddd dddd dd ) 01 = 符号付整数 (ssss sssd dddd dddd) 00 = 整数 ( dd dddd dddd) ビット 7-5 SSRC2:SSRC0: 変換トリガ要因選択ビット 111 = 内蔵カウンタでサンプリングを終了させ変換を開始する ( 自動変換 ) 110 = 予約 10x = 予約 100 = 予約 011 = 予約 010 = タイマ 3 の比較一致でサンプリングを終了し変換を開始する 001 = INT0 ピンのアクティブな遷移でサンプリングを終了し変換を開始する 000 = SAMP ビットのクリアでサンプリングを終了し変換を開始するビット 4-3 未実装 : 読むと 0 ビット 2 ASAM: A/D サンプル自動開始ビット 1 = 前の変換終了後直ぐサンプリングを開始する SAMP ビットは自動でセットされる 0 = SAMP ビットのセットでサンプリングを開始するビット 1 SAMP: A/D サンプル有効化ビット 1 = 少なくとも 1 個の A/D サンプルホールドアンプがサンプリングする 0 = A/D サンプルホールドアンプはホールド中 ASAM = 0 のとき このビットに 1 を書くとサンプリングを開始する SSRC<2:0> = 000 のとき このビットに 0 を書くとサンプリングを終了し変換を開始するビット 0 DONE: A/D 変換ステータスビット 1 = A/D 変換完了 0 = A/D 変化が完了していないか 開始していないこのビットをクリアしても実行中の動作にたいしては影響を与えない ソフトウェアか新たな変換開始によりクリアされる DS39705A_JP - ページ -6 Advance Information 2007 Microchip Technology Inc.

7 第 章 レジスタ -2: AD1CON2: A/D 制御レジスタ 2 R/W-0 R/W-0 R/W-0 U-0 U-0 R/W-0 U-0 U-0 VCFG2 VCFG1 VCFG0 r CSCNA ビット 15 ビット 8 R-0 U-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 BUFS (1) SMPI3 SMPI2 SMPI1 SMPI0 BUFM ALTS ビット 7 ビット 0 凡例 : R = 読み出し可 W = 書き込み可 U = 未実装 読むと 0 -n = POR 後の値 1 = セット 0 = クリア x = 不定 ビット VCFG2:VCFG0: 電圧リファレンス構成ビット VCFG2:VCFG0 VR+ VR- 000 AVDD AVSS 001 外部 VREF+ ピン AVSS 010 AVDD 外部 VREF- ピン ビット 12 予約 : 0 のまま ビット 11 未実装 : 読むと 0 ビット 10 CSCNA: MUX A 入力マルチプレクサ用 CH0+ S/H スキャン入力選択設定ビット 1 = スキャン入力とする 0 = スキャン入力にしない ビット 9-8 未実装 : 読むと 0 ビット 7 BUFS: バッファ格納ステータスビット (1) 1 = A/D は現在 ADC1BUF8-ADC1BUFF を使用中 ADC1BUF0-ADC1BUF7 側のデータアクセスをして下さい 0 = A/D は現在 ADC1BUF0-ADC1BUF7 を使用中 ADC1BUF8-ADC1BUFF 側のデータアクセスをして下さいビット 6 未実装 : 読むと 0 ビット 5-2 ビット 1 ビット 外部 VREF+ ピン 外部 VREF- ピン 1xx AVDD AVSS SMPI3:SMPI0: 割り込みごとのサンプル / 変換シーケンス選択ビット 1111 = 16 番目のサンプル / 変換シーケンスの完了ごとに割り込み 1110 = 15 番目のサンプル / 変換シーケンスの完了ごとに割り込み = 2 番目のサンプル / 変換シーケンスの完了ごとに割り込み 0000 = サンプル / 変換シーケンスの完了ごとに割り込み BUFM: バッファモード選択ビット 1 = バッファを 2 組の 8 ワードバッファとして構成する (ADC1BUF0 ~ ADC1BUF7 と ADC1BUF8 ~ ADC1BUFF) 0 = バッファを 1 個の 16 ワードバッファとする (ADC1BUF0 ~ ADC1BUFF) ALTS: 交互入力サンプルモード選択ビット 1 = MUX A 入力を最初のサンプルに使用し その後のすべてのサンプルには MUX B と MUX A 入力マルチプレクサ設定を交互に使用する 0 = 常に MUX A 入力マルチプレクサ設定を使用する 注 1: ADC1BUF が 2 組のバッファとして構成されたとき (BUFM = 1) だけ有効 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -7

8 PIC24F ファミリリファレンスマニュアル レジスタ -3: AD1CON3: A/D 制御レジスタ 3 R/W-0 U-0 U-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 ADRC SAMC4 SAMC3 SAMC2 SAMC1 SAMC0 ビット 15 ビット 8 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 ADCS7 ADCS6 ADCS5 ADCS4 ADCS3 ADCS2 ADCS1 ADCS0 ビット 7 ビット 0 凡例 : R = 読み出し可 W = 書き込み可 U = 未実装 読むと 0 -n = POR 後の値 1 = セット 0 = クリア x = 不定 ビット 15 ADRC: A/D 変換クロック源ビット 1 = A/D 内蔵 RC クロックとする 0 = システムクロックから派生したクロックビット 未実装 : 読むと 0 ビット 12-8 ビット 7-0 SAMC4:SAMC0: 自動サンプル時間ビット = 31 TAD = 1 TAD = 0 TAD ( 推奨ではない ) ADCS7:ADCS0: A/D 変換クロック選択ビット = 128 Tcy = Tcy = 1.5Tcy = Tcy = 0.5Tcy DS39705A_JP - ページ -8 Advance Information 2007 Microchip Technology Inc.

9 第 章 レジスタ -4: AD1CHS: A/D 入力チャネル選択レジスタ R/W-0 U-0 U-0 U-0 R/W-0 R/W-0 R/W-0 R/W-0 CH0NB CH0SB3 CH0SB2 CH0SB1 CH0SB0 ビット 15 ビット 8 R/W-0 U-0 U-0 U-0 R/W-0 R/W-0 R/W-0 R/W-0 CH0NA CH0SA3 CH0SA2 CH0SA1 CH0SA0 ビット 7 ビット 0 凡例 : R = 読み出し可 W = 書き込み可 U = 未実装 読むと 0 -n = POR 後の値 1 = セット 0 = クリア x = 不定 ビット 15 CH0NB: MUX B マルチプレクサ用チャネル 0 負側入力選択ビット 1 = チャネル 0 負側入力を AN1 とする 0 = チャネル 0 負側入力を V R - とするビット 未実装 : 読むと 0 ビット 11-8 CH0SB3:CH0SB0: MUX B マルチプレクサ用チャネル 0 正側入力選択ビット 1111 = チャネル 0 正側入力を AN15 とする 1110 = チャネル 0 正側入力を AN14 とする 1101 = チャネル 0 正側入力を AN13 とする 0001 = チャネル 0 正側入力を AN1 とする 0000 = チャネル 0 正側入力を AN0 とするビット 7 CH0NA: MUX A マルチプレクサ用チャネル 0 負側入力選択ビット 1 = チャネル 0 負側入力を AN1 とする 0 = チャネル 0 負側入力を V R - とするビット 6-4 未実装 : 読むと 0 ビット 3-0 CH0SA3:CH0SA0: MUX A マルチプレクサ用チャネル 0 正側入力選択ビット 1111 = チャネル 0 正側入力を AN15 とする 1110 = チャネル 0 正側入力を AN14 とする 1101 = チャネル 0 正側入力を AN13 とする 0001 = チャネル 0 正側入力を AN1 とする 0000 = チャネル 0 正側入力を AN0 とする 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -9

10 PIC24F ファミリリファレンスマニュアル レジスタ -5: AD1PCFG: A/D ポート構成レジスタ R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 PCFG15 PCFG14 PCFG13 PCFG12 PCFG11 PCFG10 PCFG9 PCFG8 ビット 15 ビット 8 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 PCFG7 PCFG6 PCFG5 PCFG4 PCFG3 PCFG2 PCFG1 PCFG0 ビット 7 ビット 0 凡例 : R = 読み出し可 W = 書き込み可 U = 未実装 読むと 0 -n = POR 後の値 1 = セット 0 = クリア x = 不定 ビット 15-0 PCFG15:PCFG0: アナログ入力ピン構成制御ビット 1 = アナログ入力用ピンをデジタルモードにする ポート読み出し可能 A/D 入力マルチプレクサの入力は AVss に接続される 0 = アナログ入力用ピンをアナログモードとする ポート読み出しは無効 A/D モジュールはピンの電圧をサンプルする レジスタ -6: AD1CSSL: MUX A 用入 A/D 力スキャン選択レジスタ (1) R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 CSSL15 CSSL14 CSSL13 CSSL12 CSSL11 CSSL10 CSSL9 CSSL8 ビット 15 ビット 8 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 CSSL7 CSSL6 CSSL5 CSSL4 CSSL3 CSSL2 CSSL1 CSSL0 ビット 7 ビット 0 凡例 : R = 読み出し可 W = 書き込み可 U = 未実装 読むと 0 -n = POR 後の値 1 = セット 0 = クリア x = 不定 ビット 15-0 CSSL15:CSSL0: A/D 入力チャネルスキャン選択ビット 1 = 対応するアナログチャネル ANxx を MUX A のシーケンシャルスキャンとして選択する 0 = 対応するアナログチャネルはシーケンシャルスキャンでは無視する 注 1: MUX A のみスキャンがサポートされています DS39705A_JP - ページ -10 Advance Information 2007 Microchip Technology Inc.

11 第 章.4 A/D モジュールの構成設定 A/D 変換を差動させるには次のような手順を実行して下さい 1. A/D モジュールを構成設定する アナログ入力として期待する範囲に合う電圧リファレンス源を選択する プロセッサクロックで望むデータレートとなるアナログ変換クロックを選択 どうサンプリングを起動するかを決める S/H チャネルにどの入力を接続するかを決める 望ましいサンプル / 変換シーケンスを選択する バッファ内の変換結果の格納方法を選択する 割り込みレートを選択する A/D モジュールをオンする 2. A/D 割り込みを設定する ( 必要な場合 ) AD1IF ビットをクリア A/D 割り込み優先レベルを選択各設定ステップのオプションについては次の各項で説明しています 注 : SSRC ASAM BUFS SMPI BUFM ALTS ビットは AD1CON3 AD1CSSL レジスタ同様 ADON = 1 の時には書き込まないで下さい 不定の変換結果データとなることがあります.4.1 電圧リファレンス源の選択 A/D 変換のための電圧リファレンスは VCFG2:VCFG0 制御ビット (ADCON2<15:13>) を使用して選択されます 上位側電圧リファレンス (VR+) および下位側電圧リファレンス (VR-) は 内部 AVDD および AVSS 電圧範囲か VREF+ および VREF- 入力ピンになります 外部電圧リファレンスピンは 少ピンデバイスの場合には AN0 入力と AN1 入力と共用される場合があります A/D は VREF+ および VREF- 入力ピンで共有される場合でも このピンの電圧の変換を実行します 外部リファレンスピンに適用される電圧は そのデバイスの仕様に合わせる必要があります 詳しくはデバイスデータシートの.16 項 電気的仕様 を参照してください.4.2 A/D 変換クロックの選択 A/D 変換には変換が完了できる最大変換レートがあります アナログモジュールクロック TAD は 変換のタイミングを制御します A/D 変換には 12 クロック周期 (12TAD) が必要です A/D クロックは デバイス命令クロックから得られます A/D 変換クロックの周期は 8 ビットカウンタを使用してソフトウェアで選択できます TAD には 64 のオプションが可能であり ADCS7:ADCS0 ビット (AD1CON3<7:0>) により指定されます 式 -1 から ADCS 制御ビット およびデバイス命令クロック周期 TCY の関数として TAD 値が求められます 正しい A/D 変換のために A/D 変換クロック (TAD) には 75 ns という最小の TAD 時間を確保して選択して下さい 式 -1: A/D 変換クロック周期 TAD = TCY (ADCS + 1) 2 2 TAD ADCS = 1 TCY 注 : TCY = FOSC/2 で ダズモードと PLL は無効とします 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -11

12 PIC24F ファミリリファレンスマニュアル A/D には 変換に使用できる専用の内蔵 RC クロック源があります A/D RC クロック源は デバイスがスリープモードの間に A/D 変換を実行する場合に使用します RC オシレータは ADRC ビット (ADCON3<7>) の設定により選択されます ADRC ビットがセットされると ADCS ビットは A/D 動作に無関係となります.4.3 アナログポートピンの構成 AD1PCFG レジスタは アナログ入力として使用するデバイスピンの入力条件を指定します そのアナログチャネルに対応する PCFGn ビット (AD1PCFG<n>) がクリアされると 対応するピンがアナログ入力として構成されます AD1PCFG レジスタはリセット時にはクリアされるため デフォルトでは A/D 入力ピンはアナログ入力として構成されます アナログ入力に構成された場合 対応するポートの I/O デジタル入力バッファが無効になるため 電流を消費しません AD1PCFG レジスタと対応する TRIS レジスタの両方が A/D ポートピンの動作を制御します アナログ入力とするポートピンは 対応する TRIS ビットをセットして入力とする必要があります デバイスリセット後 すべての TRIS ビットはセットされます A/D 入力に関連する I/O ピンをデジタル出力として構成 (TRIS ビットをクリアして ) して さらにこのピンをアナログモード (AD1PCFG<n> = 0) とすると このポートのデジタル出力レベル (VOH または VOL) が変換されます PCFGn ビットがセットされた場合 対応するピンはデジタル I/O として構成されます この構成の場合 アナログマルチプレクサへの入力は AVSS に接続されます 注 1: PORT レジスタを読み出すと アナログ入力として構成されているいずれのピンも 0 として読み出されます 2: デジタル入力として定義されたピン (AN15: AN0 ピンを含む ) へのアナログレベルは 入力バッファにデバイス仕様以上の電流を消費させることがあります.4.4 CH0 入力選択 A/D には 2 つの独立した入力マルチプレクサが実装されていて どちらのアナログチャネルをサンプルするかユーザーが選択できます それらはマルチプレクサ A (MUX A) とマルチプレクサ B(MUX B) として良く知られています CH0SA3:CH0SA0 と CH0NA で指定される入力はまとめて MUX A 入力と呼ばれます CH0SB3:CH0SB0 と CH0NB で指定される入力はまとめて MUX B 入力と呼ばれます MUX A と MUX B の機能は互いに良く似ています 両マルチプレクサとも 個々のサンプル用として任意のアナログ入力チャネルを選択でき また 差動サンプリングの負側のリファレンス源としていくつかの選択肢から選択できます さらに MUX A はシーケンシャルなアナログチャネルのスキャンを構成できますし MUX B はより幅広いリファレンス源から選択できます これらについては 項 MUX A と MUX B 入力の構成 と 項 複数入力のスキャニング で詳細を説明します 注 : PIC24F デバイスごとにアナログ入力の数は異なっています 利用可能なアナログ入力については その特定デバイスのデータシートを参照して下さい MUX A と MUX B 入力の構成 ユーザーは CH0 の正側の入力には 16 アナログ入力の任意のひとつを選択して接続できます MUX A は 通常 CH0SA3:CH0SA0 ビット (AD1CHS<3:0>) で正側入力のアナログチャネルを選択します MUX B の正側チャネルは CH0SB3:CH0SB0 ビット (AD1CHS<11:8>) で選択されます CH0 の負側 ( 反転 ) の入力には ユーザーは 2 つの選択肢を持ち CH0NA および CH0NB ビット ( それぞれ AD1CHS<7,15>) で選択できます いずれかをセットすると AN1 がマルチプレクサの負側入力として選択され クリアするとそのときの VR- 源が選択されます DS39705A_JP - ページ -12 Advance Information 2007 Microchip Technology Inc.

13 第 章 MUX A と MUX B の交互入力選択 デフォルトでは A/D は MUX A 側で選択された入力をサンプルし変換します ALTS ビット (AD1CON2<0>) によりモジュールが連続するサンプルの間 MUX A と MUX B で選択される 2 つの入力の交互入力を有効化します ALTS ビットが 0 の場合 CH0SA と CH0NA ビットで指定されている入力だけがサンプルされます ALTS ビットが 1 の場合 モジュールはまず MUX A 側の入力をサンプルし 続いて MUX B をサンプルし これを交互に繰り返します チャネル 0 の最初のサンプル / 変換で ALTS ビットが 1 の場合 CH0SA<3:0> と CH0NA で選択された入力がサンプルされます 次のサンプル / 変換シーケンスでは CH0SB<3:0> と CH0NB で選択された入力が選択されサンプリングされます このパターンが続くサンプル変換シーケンスでも繰り返されます 複数入力のスキャニング MUX A がアナログ入力として選択されると A/D モジュールは複数アナログ入力チャネルのスキャンの能力を持ちます CSCNA ビット (AD1CON2<10>) が CH0 チャネル入力の選択した複数のアナログ入力スキャンを有効化します CSCNA がセットされると CH0SA ビットは無視され AD1CSSL レジスタで指定されたチャネルがシーケンシャルにサンプルされます AD1CSSL レジスタの各ビットは アナログチャネルの 1 つに対応します AD1CSSL レジスタのビットがセットされると 対応するアナログ入力チャネルがスキャンシーケンスに含まれます 入力は常に下位入力から上位入力に向かってスキャンされ 各割り込みが発生した後は 最初の選択チャネルから再開します 注 : スキャン対象の入力に選択した数が 各割り込み毎に採取されるサンプル数よりも大きい場合 高位の番号の入力はサンプリングされません AD1CSSL は チャネルの正側の入力だけを指定します CH0NA ビットは スキャンの間もチャネルの負側の入力を選択します スキャンは MUX A 入力選択にのみに有効です CH0SB<3:0> ビットの指定により MUX B の入力を選択した場合には 代替チャネル入力が選択されます MUX A と MUX B の交互サンプリングが選択された場合 (ALTS = 1) には 入力は AD1CSSL レジスタで指定されるスキャン入力と CH0SB ビットで指定される固定入力を交互に切り替えます.4.5 モジュールの有効化 ADON ビット (ADCON1<15>) がセットされた時 モジュールはフルパワーで動作します ADON が 0 の場合 モジュールは無効化されます 回路のデジタルおよびアナログ部とも省電力最大化のためにオフにされます ADON ビットをセットしてモジュールを有効化する場合には ユーザーはアナログ段が安定するまで待って下さい 安定化時間については.16 項 電気的仕様 を参照して下さい 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -13

14 PIC24F ファミリリファレンスマニュアル.5 初期化 例 -1 に A/D モジュールの簡単な初期化コード例を示します この典型的な構成では 16 の全アナログ入力をアナログ入力として設定しています アイドルモード中の動作は無効とし 出力データは符号なし固定小数形式で AVDD と AVSS を VR+ と VR- として使います サンプルの開始と変換の開始 ( 変換トリガ ) はいずれもソフトウェアによる手動とします 入力スキャンは無効で 毎回のサンプル / 変換の後に割り込み (1 変換結果 ) とし 1 チャネル (AN0) のみ変換とします A/D 変換クロックは TCY/2 です この例は サンプル / 変換シーケンスを手動設定と SAMP ビット (AD1CON1<1>) をクリアする方法で行うひとつの方法を示しています この方法は 他の方法も含めて.6 項 サンプリングプロセスの制御 と.7 項 変換プロセスの制御 でさらに説明します 例 -1: A/D 初期化コード例 AD1PCFG = 0; // Configure A/D port // all input pins are analog AD1CON1 = 0x2208; // Configure sample clock source // and conversion trigger mode. // Unsigned Fraction format (FORM<1:0>=10), // Manual conversion trigger (SSRC<3:0>=000), // Manual start of sampling (ASAM=0), // No operation in Idle mode (ADSIDL=1). AD1CON2 = 0; // Configure A/D voltage reference // and buffer fill modes. // Vr+ and Vr- from AVdd and AVss (VCFG<2:0>=000), // Inputs are not scanned, // Interrupt after every sample AD1CON3 = 0; // Configure A/D conversion clock as Tcy/2 AD1CHS = 0; // Configure input channels, // CH0+ input is AN0, // CH0- input is Vr- (AVss). AD1CSSL = 0; // No inputs are scanned. IFS0bits.AD1IF= 0; // Clear A/D conversion interrupt. // Configure A/D interrupt priority bits (AD1IP<2:0>) here, if // required. Default priority level is 4. IEC0bits.AD1IE= 1; // Enable A/D conversion interrupt AD1CON1bits.ADON= 1;// Turn on A/D AD1CON1bits.SAMP= 1;// Start sampling the input Delay(); // Ensure the correct sampling time has elapsed // before starting conversion. AD1CON1bits.SAMP= 0;// End A/D sampling and start conversion // Example code for A/D ISR: void attribute (( interrupt )) _ADC1Interrupt(void) { IFS0bits.AD1IF= 0; } DS39705A_JP - ページ -14 Advance Information 2007 Microchip Technology Inc.

15 第 章.6 サンプリングプロセスの制御.6.1 手動サンプリング ASAM ビット (AD1CON1<2>) がクリアされているとき SAMP ビット (ADCON1<1>) をセットすると A/D のサンプリングが開始されます 複数のオプションのいずかを使用すると サンプリングを終了して変換を完了できます SAMP ビットが再度設定されるまで サンプリングは再開しません 例として 図 -3 を参照してください.6.2 自動サンプリング ASAM ビットをセットすると 変換完了後に自動的にサンプリングが開始されます サンプリングを終了し 変換を実行する場合は 複数のオプションのいずかを使用します チャネルの変換の終了後に そのチャネルでサンプリングが再開されます 例として 図 -4 を参照してください.6.3 サンプリング状態のモニタ SAMP ビットは A/D のサンプリング状態を表しています 通常 SAMP ビットがクリアならサンプリングは終了状態を示しており DONE ビットが自動的にクリアされて変換の開始を表します DONE が 1 で SAMP ビットが 0 のときは A/D は停止状態です.6.4 サンプリングの停止 手動サンプリングモードのときは SAMP ビットをクリアするとサンプリングを終了します SSRC2:SSRC0 = 000 なら 変換を自動的に開始します 自動サンプリングモード中に ASAM ビットをクリアしても 進行中のサンプリング / 変換シーケンスは終了できません しかし その変換終了後の自動サンプリング開始は行われません.7 変換プロセスの制御 変換トリガ要因によりサンプリングが終了し 選択した変換シーケンスが開始されます SSRC2:SSRC0 ビット (AD1CON1<7:5>) により変換要因を選択します 注 1: 利用可能な変換トリガ要因は PIC24F デバイスの種類により異なります 利用可能な変換トリガ要因に関しては特定デバイスのデータシートを参照してください 2: A/D モジュールが有効に設定されている場合 SSRC 選択ビットは変更できません 変換トリガ要因を変更する場合 まず ADON ビット (ADCON1<15>) をクリアして A/D モジュールを無効にして下さい.7.1 手動制御 SSRC2:SSRC0 = 000 の時 変換トリガはソフトウェア制御となります SAMP ビット (ADCON1<1>) をクリアすると変換シーケンスが開始されます 図 -3 の例では SAMP ビットのセットによりサンプリングが開始され SAMP ビットのクリアにより サンプリングが終了して変換が開始されます ユーザーソフトウェアで 入力信号の十分なサンプリング時間を確保するようSAMPビットのセットとクリアの時間を調整する必要があります 図 -4 の例は ASAM ビットをセットで自動サンプリングが開始され SAMP ビットをクリアしてサンプリングを終了させ 変換を開始する例です 変換完了後 モジュールは自動的にサンプリング状態に戻ります サンプル間隔の始めに SAMP ビットが自動的にセットされます ユーザーソフトウェアで 入力信号の十分なサンプリング時間を確保するように SAMP ビットをクリアする間の時間にサンプリング時間の他に変換時間も含まれることを考慮して調整する必要があります 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -15

16 PIC24F ファミリリファレンスマニュアル 図 -3: 1 つのチャネルの変換 手動サンプル開始 手動変換開始の場合 A/D CLK TCONV SAMP DONE ADC1BUF0 命令実行 BSF AD1CON1, SAMP BCF AD1CON1, SAMP 例 -2: 1 つのチャネルの変換で手動サンプル開始 手動変換開始の場合のコード例 int ADCValue; AD1PCFG = 0xFFFB; // AN2 as analog, all other pins are digital AD1CON1 = 0x0000; // SAMP bit = 0 ends sampling // and starts converting AD1CHS = 0x0002; // Connect AN2 as CH0 input // in this example AN2 is the input AD1CSSL = 0; AD1CON3 = 0x0002; // Manual Sample, Tad = 2 Tcy AD1CON2 = 0; AD1CON1bits.ADON= 1; // turn ADC ON while (1) // repeat continuously { AD1CON1bits.SAMP= 1; // start sampling... Delay(); // Ensure the correct sampling time has elapsed // before starting conversion. AD1CON1bits.SAMP= 0; // start Converting while (!AD1CON1bits.DONE); // conversion done? ADCValue= ADC1BUF0; // yes then get ADC value } 図 -4: 1 つのチャネルの変換で自動サンプル開始 手動変換開始の場合 A/D CLK TAD0 TCONV TAD0 TCONV SAMP ADC1BUF0 BSF AD1CON1, ASAM BCF AD1CON1, SAMP BCF AD1CON1, SAMP 命令実行 DS39705A_JP - ページ -16 Advance Information 2007 Microchip Technology Inc.

17 第 章.7.2 クロックによる変換トリガ SSRC2:SSRC0 = 111 の時 変換トリガは A/D クロック制御下に置かれます SAMC ビット (ADCON3<12:8>) により サンプリング開始から変換開始までのクロックサイクル TAD の数が選択されます サンプリング開始後 モジュールは SAMC ビットで指定された数の TAD クロックをカウントします サンプリングに必要な時間を確保するため SAMC ビットには常に少なくとも 1 クロックサイクル以上をプログラムして下さい 式 -2: クロックによる変換トリガ時間 TSMP = SAMC<4:0> * TAD 図 -5 はユーザーソフトウェアでクロックによる変換トリガをサンプリングを開始したあとどのように使うかを示しています 図 -5: 1 つのチャネルの変換で 手動サンプリング開始 TAD ベースの変換開始の場合 A/D CLK TCONV SAMP DONE ADC1BUF0 命令実行 BSF AD1CON1, SAMP 例 -3: 1 つのチャネルの変換で 手動サンプリング開始 TAD ベースの変換開始の場合のコード例 int ADCValue; AD1PCFG = 0xEFFF; // all PORTB = Digital; RB12 = analog AD1CON1 = 0x00E0; // SSRC<3:0> = 111 implies internal // counter ends sampling and starts // converting. AD1CHS = 0x000C; // Connect AN12 as CH0 input. // in this example AN12 is the input AD1CSSL = 0; AD1CON3 = 0x1F02; // Sample time = 31Tad, // Tad = 2 Tcy AD1CON2 = 0; AD1CON1bits.ADON= 1; // turn ADC ON while (1) // repeat continuously { AD1CON1bits.SAMP= 1; // start sampling then... // after 31Tad go to conversion while (!AD1CON1bits.DONE); // conversion done? ADCValue= ADC1BUF0; // yes then get ADC value } // repeat 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -

18 PIC24F ファミリリファレンスマニュアル フリーランのサンプリング 変換シーケンス 自動変換トリガモード (SSRC2:SSRC0 = 111) を自動サンプリングモード (ASAM = 1) と一緒に使うと A/D モジュールをユーザーやデバイスのリソースの介在なく スケジュールされたサンプル / 変換シーケンスにできます クロックによる モードを図 -6 に示しますが モジュール初期化後は連続的にデータの収集を実行します 図 -6: 1 つのチャネルの変換で 自動サンプル開始 TAD ベースの変換開始の場合 A/D CLK TCONV TCONV SAMP DONE ソフトウェアでリセット ADC1BUF0 ADC1BUF1 命令実行 BSF AD1CON1, ASAM 例 -4: 1 つのチャネルの変換で 自動サンプリング開始 TAD ベースの変換開始のコード例 int int ADCValue, count; *ADC16Ptr; AD1PCFG = 0xFFFB; // AN2 as analog, // all other pins are digital AD1CON1 = 0x00E0; // SSRC bit = 111 implies internal // counter ends sampling // and starts converting. AD1CHS = 0x0002; // Connect RB2/AN2 as CH0 input.. // in this example RB2/AN2 is // the input AD1CSSL = 0; AD1CON3 = 0x0F00; // Sample time = 15Tad, // Tad = Tcy/2 AD1CON2 = 0x0004; // Set AD1IF after every 2 samples AD1CON1bits.ADON= 1; // turn ADC ON while (1) // repeat continuously { ADCValue= 0; // clear variable ADC16Ptr= &ADC1BUF0; // initialize ADC1BUF pointer IFS0bits.AD1IF= 0; // clear ADC interrupt flag AD1CON1bits.ASAM= 1; // auto start sampling // for 31Tad then go to conversion while (!IFS0bits.AD1IF); // conversion done? AD1CON1bits.ASAM= 0; // yes then stop sample/convert for (count = 0; count < 2; count++)// average the 2 ADC value ADCValue= ADCValue + *ADC16Ptr++; ADCValue= ADCValue >> 1; } // repeat} クロックによる変換トリガと自動サンプリングを使用した場合のサンプル時間の考慮 ユーザーはサンプリング時間が.10 項 A/D サンプリング要件 で述べたサンプリング要件を上回っていることを確認して下さい モジュールが自動サンプリングで かつクロックによる変換トリガに設定されている場合は サンプリング間隔は SAMC ビットで指定されます DS39705A_JP - ページ -18 Advance Information 2007 Microchip Technology Inc.

19 第 章.7.3 イベントトリガによる変換開始 サンプリングの終了と変換開始を 他のタイムイベントと同期させるのが望ましい場合があります A/D モジュールは 変換トリガイベントとして 3 つの要因のいずれかを使用できます 外部 INT0 ピントリガ SSRC2:SSRC0 = 001 の時 A/D 変換は INT0 ピンのアクティブな遷移でトリガされます ピンは 入力の立ち上がりエッジまたは立下りエッジのいずれかでプログラムされます 汎用タイマ比較トリガ A/D は SSRC<2:0> = 010 設定によりこのトリガモードに構成されます 32 ビットタイマ TMR3/TMR2 と 32 ビットの結合周期レジスタ PR3/PR2 との間で比較一致が発生すると 特別な ADC トリガイベント信号がタイマ 3 により生成されます TMR5/TMR4 タイマペアにはこの機能はありません 詳しくは第 14 章 タイマ を参照してください 内部 外部イベントと A/D 変換動作の同期化 外部イベントトリガパルスによりサンプリングを終了し変換を開始するモード (SSRC2:SSRC0 = ) を 自動サンプリング (ASAM = 1) と併用することで A/D のサンプル変換イベントをトリガパルス要因に同期させられます 例えば 図 -8 では SSRC2:SSRC0 = 010 かつ ASAM = 1 ですので A/D は常にサンプリングを終了させて タイマ比較トリガイベントに同期して変換を開始します A/D のサンプル変換レートは タイマ比較イベントレートに依存することになります 図 -7: 手動サンプル開始 トリガベースの変換開始の場合 変換トリガ A/D CLK SAMP ADC1BUF0 命令実行 BSF AD1CON1, SAMP TCONV 図 -8: 自動サンプル開始 変換トリガベースの変換開始の場合 変換トリガ A/D CLK TCONV TCONV SAMP DONE ソフトウェアでリセット ADC1BUF0 ADC1BUF1 BSF AD1CON1, ASAM 命令実行 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -19

20 PIC24F ファミリリファレンスマニュアル 例 -5: 1 チャネルの変換で 自動サンプリング開始 変換トリガベースの変換開始の場合のコード例 int ADCValue; AD1PCFG = 0xFFFB; // AN2 analog, all other pins digital AD1CON1 = 0x0040; // SSRC bit = 010 implies GP TMR3 // compare ends sampling and starts // converting. AD1CHS = 0x0002; // Connect AN2 as CH0 input... // in this example AN2 is the input AD1CSSL = 0; AD1CON3 = 0x0000; // Sample time is TMR3, Tad = Tcy/2 AD1CON2 = 0x0004; // Set AD1IF after 2 conversions // set TMR3 to time out every 125 ms TMR3 = 0x0000; PR3 = 0x3FFF; T3CON = 0x8010; AD1CON1bits.ADON= 1; // turn ADC ON AD1CON1bits.ASAM= 1; // start auto sampling every 125 ms while (1) // repeat continuously { while (!IFS0bits.AD1IF);// conversion done? ADCValue= ADC1BUF0; // yes then get first ADC value IFS0bits.AD1IF= 0; // clear AD1IF } 自動サンプリング / 変換シーケンスの場合のサンプル時間の考慮 サンプル / 変換シーケンスが異なると S/H チャネルがアナログ信号を獲得するために利用できるサンプル時間が異なります サンプリング時間が.10 項 A/D サンプリング要件 で概説しているサンプリング要件を上回るようにして下さい モジュールが自動サンプリング用に設定され 外部トリガパルスが変換トリガとして使用されている場合 サンプリング間隔はトリガパルスインターバルの一部となります サンプル時間はトリガパルス周期となりますから 変換を完了するのに要する時間はこれより短くなります 式 -3: シーケンシャルサンプリングの場合の有効サンプリング時間の計算 TSMP = トリガパルス間隔 (TSEQ) 変換時間 (TCONV) = TSEQ TCONV.7.4 サンプリング / 変換状態のモニタ DONE ビット (AD1CON1<0>) は A/D のサンプリング状態を表します 一般的に サンプリングが終了したことを表す SAMP ビットがクリアされると DONE ビットが自動的にクリアされて変換開始を示します SAMP が 0 で DONE が 1 の場合 A/D は非アクティブ状態です 一部の動作モードでは SAMP ビットでサンプリングの開始および終了を行うこともできます これらのモードでは DONE ビットを進行中の変換終了には使用できません.7.5 A/D 割り込みの発生 SMPI3:SMPI0 ビット (AD1CON2<5:2>) で AD1IF 割り込みフラグの発生の制御をします A/D 割り込みフラグは サンプリング開始後 SMPI ビットで指定されたサンプル / 変換シーケンス回数後にセットされ 以降同じサンプル回数ごとに繰り返されます SMPI ビットで指定される値は バッファの中のデータサンプル数に対応し 最大 16 です 割り込みを有効にするには A/D 割り込み有効化ビット AD1IE をセットする必要があります DS39705A_JP - ページ -20 Advance Information 2007 Microchip Technology Inc.

21 第 章.7.6 変換の中断.8 A/D 結果バッファ 変換中に ADON ビットをクリアすることにより現在の変換が中断されます A/D 結果バッファは 部分的な A/D 変換サンプル完了では更新されません つまり 対応している ADC1BUF バッファ位置には 最後に完了した変換の値 ( またはバッファに最後に書き込まれた値 ) が引き続き格納されています 変換が完了すると モジュールにより A/D 結果バッファに変換の結果が書き込まれます このバッファは 16 ワードの RAM アレイで SFR 空間経由でアクセスされます ユーザーソフトウェアは A/D 変換結果が生成されるたびに これを読み込むこともできますが この方法では CPU 時間が大量に消費されます 一般的に コードを簡単にするために モジュールがバッファに結果を格納し バッファが一杯になったときに割り込みを生成するようにします.8.1 割り込みごとの変換回数 SMPI3:SMPI0 で CPU の割り込みが起こる前に実行する A/D 変換の回数を選択します 割り込みあたり 1 サンプルから 16 サンプルまで指定できます 各割り込みの後に A/D 変換モジュールは常にバッファの先頭から変換結果の書き込みを開始します 例えば SMPI3:SMPI0 = 0000 ならば 変換結果は常に ADC1BUF0 に書き込まれます この例では 他のバッファ位置は使用されません.8.2 バッファフィルモード BUFM ビット (AD1CON2<1>) が 1 の時 16 ワードの結果バッファは 2 つの 8 ワードのグループ 下位グループ (ADC1BUF0 ~ ADC1BUF7) と上位グループ (ADC1BUF8 ~ ADC1BUFF) に分けられます 各割り込みイベントの後に 8 ワードバッファは交互に変換結果を受け取ります BUFM のセット後に使用される最初の 8 ワードバッファは下位グループです BUFM が 0 の時 すべての変換シーケンスで 16 ワードの完全なバッファが使用されます 注 : BUFM ビット (AD1CON2<1>) がセットされた場合 ユーザーは割り込みごとに 8 変換以上の値を SMPI ビットにプログラムしないようにして下さい 分割バッファ機能を使用するかどうかの決定は 割り込み後にバッファ内容を移動するのに利用できる時間により異なり アプリケーションにより決定されます 1 つのチャネルをサンプルし変換するのにかかる時間内に プロセッサが素早くすべてのバッファをアンロードできる場合 BUFM ビットは 0 にでき 割り込みごとに最大 16 変換が実行できます アプリケーションは 最初のバッファ位置が上書きされる前に 1 回分のサンプリング / 変換時間だけが与えられます 1 回のサンプルと変換の時間内にプロセッサがバッファをアンロードできない場合 BUFM ビットを 1 にします 例えば SMPI3:SMPI0 = 0111 ならば 8 つの変換がバッファの下位半分にロードされ その後割り込みが発生します 次の 8 つの変換はバッファの残りの上位半分にロードされます したがって プロセッサは割り込み間の全ての時間を使って バッファから 8 つの変換を取り出すことができます.8.3 バッファフィルステータス 変換結果バッファが BUFM 制御ビットを使って分割される場合 BUFS ステータスビット (ADCON2<7>) により どちらの半分が A/D により書き込み中であるかが示されます BUFS = 0 ならば A/D は下位グループに格納中であり ユーザーソフトウェアは上位グループから変換値を読み出します BUFS = 1 ならば 状況は逆になり ユーザーソフトウェアは下位グループから変換値を読み出します 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -21

22 PIC24F ファミリリファレンスマニュアル.8.4 バッファのデータフォーマット 各 A/D 変換結果は 10 ビット幅です データフォーマットの互換性を保つため 各変換結果は自動的に 4 種の選択可能な 16 ビットフォーマットの内のひとつに変換されます FORM1:FORM0 ビット (AD1CON1<9:8>) でフォーマットを選択します 図 -9 に選択可能なデータ出力フォーマットを示します 図 -9: A/D 出力データフォーマット RAM 内容 d09 d08 d07 d06 d05 d04 d03 d02 d01 d00 バス読み出し 整数 d09 d08 d07 d06 d05 d04 d03 d02 d01 d00 符号付整数 d09 d09 d09 d09 d09 d09 d09 d08 d07 d06 d05 d04 d03 d02 d01 d00 固定小数 (1.15) d09 d08 d07 d06 d05 d04 d03 d02 d01 d 符号付固定小数 (1.15) d09 d08 d07 d06 d05 d04 d03 d02 d01 d 表 -1: 各種結果コードの数値表現 : 整数フォーマットの場合 VIN/VREF 10 ビット出力コード 16 ビット整数フォーマット / 10 進数表記 16 ビット符号付整数フォーマット / 10 進数表記 1023/ / ²²² 513/ / / ²²² 1/ / DS39705A_JP - ページ -22 Advance Information 2007 Microchip Technology Inc.

23 第 章 表 -2: 各種結果コードの数値表現 : 固定小数フォーマットの場合 VIN/VREF 10 ビット出力コード 16 ビット固定小数フォーマット / 10 進数表記 16 ビット符号付固定小数フォーマット / 10 進数表記 1023/ / / / / / / 変換シーケンス例 以下の構成例には サンプリングおよびバッファ構成の異なる A/D 動作が示されています 各例では ASAM ビットの設定により自動サンプリングが開始されます 変換トリガによりサンプリングが終了し 変換が開始されます.9.1 単一チャネルの複数回のサンプリングと変換 図 -10 と例 -6 では A/D の基本構成を説明しています この場合 1 つの A/D 入力である AN0 がサンプリングされ変換されます 結果は ADC1BUF バッファに格納されます このプロセスは バッファが一杯になってモジュールが割り込みを生成するまで 16 回繰り返されます そして全プロセスが繰り返されます ALTS をクリアすることで MUX A 入力のみがアクティブになります CH0SA ビットと CH0NA ビット (AN0-VR-) が サンプル / ホールドチャネルへの入力を指定します 他の入力選択ビットはすべて使用されません 図 -10: 割り込み当たり単一チャネルで 16 回の変換の場合 変換トリガ A/D CLK TCONV TCONV TCONV TCONV CH0 への入力 AN0 AN0 AN0 AN0 ASAM SAMP DONE ADC1BUF0 ADC1BUF1 ADC1BUFE ADC1BUFF AD1IF BSF AD1CON1, ASAM 命令実行 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -23

24 PIC24F ファミリリファレンスマニュアル 例 -6: 単一チャネルの複数回のサンプルと変換の場合 int ADCValue, count; int *ADC16Ptr; AD1PCFG = 0xFFFB; // Only AN2 as analog input AD1CON1 = 0x00E0; // Internal counter triggers conversion AD1CHS = 0x0002; // Connect AN2 as CH0 positive input AD1CSSL = 0; AD1CON3 = 0x0F00; // Sample time = 15Tad, Tad = Tcy/2 AD1CON2 = 0x003C; // Set AD1IF after every 16 samples AD1CON1bits.ADON= 1; // turn ADC ON while (1) // repeat continuously { ADCValue= 0; // clear value ADC16Ptr= &ADC1BUF0; // initialize ADC1BUF pointer IFS0bits.AD1IF= 0; // clear ADC interrupt flag AD1CON1bits.ASAM= 1; // auto start sampling for 31Tad // then go to conversion while (!IFS0bits.AD1IF); // conversion done? AD1CON1bits.ASAM= 0; // yes then stop sample/convert for (count = 0; count < 16; count++)// average the 16 ADC value ADCValue= ADCValue + *ADC16Ptr++; ADCValue= ADCValue >> 4; } // repeat DS39705A_JP - ページ -24 Advance Information 2007 Microchip Technology Inc.

25 第 章 例 -7: 割り込み当たり単一チャネルで 16 回の変換の場合 A/D の構成 AN0 を CH0+ 入力として選択 (CH0SA3:CH0SA0 = 0000) VR- を CH0- 入力として選択 (CH0NA = 0) 入力スキャンなしに構成 (CSCNA = 0) MUX A のみサンプリングに使用する (ALTS = 0) AD1IF を 16 回目のサンプリングごととセットする (SMPI3:SMPI0 = 1111) バッファを 16 ワード結果の単一構成とする (BUFM = 0) 動作シーケンス 1. MUX A の入力 AN0 をサンプル ; 変換しバッファ 0h に書き込む 2. MUX A の入力 AN0 をサンプル ; 変換しバッファ 1h に書き込む 3. MUX A の入力 AN0 をサンプル ; 変換しバッファ 2h に書き込む 4. MUX A の入力 AN0 をサンプル ; 変換しバッファ 3h に書き込む 5. MUX A の入力 AN0 をサンプル ; 変換しバッファ 4h に書き込む 6. MUX A の入力 AN0 をサンプル ; 変換しバッファ 5h に書き込む 7. MUX A の入力 AN0 をサンプル ; 変換しバッファ 6h に書き込む 8. MUX A の入力 AN0 をサンプル ; 変換しバッファ 7h に書き込む 9. MUX A の入力 AN0 をサンプル ; 変換しバッファ 8h に書き込む 10. MUX A の入力 AN0 をサンプル ; 変換しバッファ 9h に書き込む 11. MUX A の入力 AN0 をサンプル ; 変換しバッファ Ah に書き込む 12. MUX A の入力 AN0 をサンプル ; 変換しバッファ Bh に書き込む 13. MUX A の入力 AN0 をサンプル ; 変換しバッファ Ch に書き込む 14. MUX A の入力 AN0 をサンプル ; 変換しバッファ Dh に書き込む 15. MUX A の入力 AN0 をサンプル ; 変換しバッファ Eh に書き込む 16. MUX A の入力 AN0 をサンプル ; 変換しバッファ Fh に書き込む. AD1IF フラグをセット ( さらに有効なら割り込み発生 ) 18. 割り込みから戻って (1-16) を繰り返す バッファに格納される結果 (2 サイクル後 ) バッファアドレス バッファ内容最初の AD1IF イベント時 バッファ内容 2 回目の AD1IF イベント時 ADC1BUF0 AN0 サンプル 1 AN0 サンプル ADC1BUF1 AN0 サンプル 2 AN0 サンプル 18 ADC1BUF2 AN0 サンプル 3 AN0 サンプル 19 ADC1BUF3 AN0 サンプル 4 AN0 サンプル 20 ADC1BUF4 AN0 サンプル 5 AN0 サンプル 21 ADC1BUF5 AN0 サンプル 6 AN0 サンプル 22 ADC1BUF6 AN0 サンプル 7 AN0 サンプル 23 ADC1BUF7 AN0 サンプル 8 AN0 サンプル 24 ADC1BUF8 AN0 サンプル 9 AN0 サンプル 25 ADC1BUF9 AN0 サンプル 10 AN0 サンプル 26 ADC1BUFA AN0 サンプル 11 AN0 サンプル 27 ADC1BUFB AN0 サンプル 12 AN0 サンプル 28 ADC1BUFC AN0 サンプル 13 AN0 サンプル 29 ADC1BUFD AN0 サンプル 14 AN0 サンプル 30 ADC1BUFE AN0 サンプル 15 AN0 サンプル 31 ADC1BUFF AN0 サンプル 16 AN0 サンプル Microchip Technology Inc. Advance Information DS39705A_JP - ページ -25

26 PIC24F ファミリリファレンスマニュアル.9.2 全アナログ入力をスキャンする A/D 変換の場合 図 -11 と例 -9 に すべての利用可能なアナログ入力チャネルをサンプルし変換する典型的なセットアップ例を示しています CSCNA ビットをセットすると CH0 の正入力への A/D 入力のスキャンを指定します 他の条件は.9.1 項 単一チャネルの複数回のサンプリングと変換 と同じです 最初に AN0 入力が CH0 によりサンプリングされ変換されます 結果は ADC1BUF バッファに格納されます 次に AN1 入力がサンプリングされ変換されます この入力のスキャンプロセスは バッファが一杯になってモジュールが割り込みを生成するまで 16 回繰り返されます 次に全プロセスが繰り返されます 図 -11: 1 回の割り込みごとに 16 入力全てをスキャンする場合 変換トリガ A/D CLK TCONV TCONV TCONV TCONV CH0 への入力 AN0 AN1 AN14 AN15 ASAM SAMP DONE ADC1BUF0 ADC1BUF1 ADC1BUFE ADC1BUFF AD1IF BSET AD1CON1, #ASAM 命令実行 例 -8: 全チャネルのサンプリングと変換 int ADCValue, count; int *ADC16Ptr; AD1PCFG = 0x0000; // Configure all pins as analog inputs AD1CSSL = 0xFFFF; // Include all channels in scan AD1CON1 = 0x00E0; // Internal counter triggers conversion AD1CON3 = 0x0F00; // Sample time = 15Tad, Tad = Tcy/2 AD1CON2 = 0x023C; // Set AD1IF after every 16 samples, // enable scanning AD1CON1bits.ADON= 1; // turn ADC ON while (1) // repeat continuously { ADCValue= 0; // clear value ADC16Ptr= &ADC1BUF0; // initialize ADC1BUF pointer IFS0bits.AD1IF= 0; // clear ADC interrupt flag AD1CON1bits.ASAM= 1; // auto start sampling for 31Tad // then go to conversion while (!IFS0bits.AD1IF); // conversion done? AD1CON1bits.ASAM= 0; // yes then stop sample/convert for (count = 0; count < 16; count++) // average the 16 ADC value ADCValue= ADCValue + *ADC16Ptr++; ADCValue= ADCValue >> 4; } // repeat DS39705A_JP - ページ -26 Advance Information 2007 Microchip Technology Inc.

27 第 章 例 -9: 1 回の割り込みごとに 16 チャネルすべてをスキャンし変換する例 A/D の構成 CH0+ 入力へのチャネルを選択する (CH0SA3:CH0SA0 = xxxx) VR- を CH0- 入力として選択する (CH0NA = 0) MUX A のみサンプリングに使用する (ALTS = 0) MUX A を入力スキャンと構成する (CSCNA = 1) 全アナログチャネルをスキャン対象とする (AD1CSSL = ) AD1IF を 16 回目のサンプリングごととしてセットする (SMPI3:SMPI0 = 1111) バッファを 16 ワード結果の単一構成とする (BUFM = 0) 動作シーケンス 1. MUX A の入力 AN0 をサンプル ; 変換しバッファ 0h に書き込む 2. MUX A の入力 AN1 をサンプル ; 変換しバッファ 1h に書き込む 3. MUX A の入力 AN2 をサンプル ; 変換しバッファ 2h に書き込む 4. MUX A の入力 AN3 をサンプル ; 変換しバッファ 3h に書き込む 5. MUX A の入力 AN4 をサンプル ; 変換しバッファ 4h に書き込む 6. MUX A の入力 AN5 をサンプル ; 変換しバッファ 5h に書き込む 7. MUX A の入力 AN6 をサンプル ; 変換しバッファ 6h に書き込む 8. MUX A の入力 AN7 をサンプル ; 変換しバッファ 7h に書き込む 9. MUX A の入力 AN8 をサンプル ; 変換しバッファ 8h に書き込む 10. MUX A の入力 AN9 をサンプル ; 変換しバッファ 9h に書き込む 11. MUX A の入力 AN10 をサンプル ; 変換しバッファ Ah に書き込む 12. MUX A の入力 AN11 をサンプル ; 変換しバッファ Bh に書き込む 13. MUX A の入力 AN12 をサンプル ; 変換しバッファ Ch に書き込む 14. MUX A の入力 AN13 をサンプル ; 変換しバッファ Dh に書き込む 15. MUX A の入力 AN14 をサンプル ; 変換しバッファ Eh に書き込む 16. MUX A の入力 AN15 をサンプル ; 変換しバッファ Fh に書き込む. AD1IF フラグをセット ( 有効であれば割り込み発生 ) 18. 割り込みから戻って (1-16) を繰り返す バッファに格納される結果 (2 サイクル後 ) バッファアドレス バッファ内容最初の AD1IF イベント時 バッファ内容 2 回目の AD1IF イベント時 ADC1BUF0 サンプル 1 (AN0 サンプル 1) サンプル (AN0 サンプル 2) ADC1BUF1 サンプル 2 (AN1 サンプル 1) サンプル 18 (AN1 サンプル 2) ADC1BUF2 サンプル 3 (AN2 サンプル 1) サンプル 19 (AN2 サンプル 2) ADC1BUF3 サンプル 4 (AN3 サンプル 1) サンプル 20 (AN3 サンプル 2) ADC1BUF4 サンプル 5 (AN4 サンプル 1) サンプル 21 (AN4 サンプル 2) ADC1BUF5 サンプル 6 (AN5 サンプル 1) サンプル 22 (AN5 サンプル 2) ADC1BUF6 サンプル 7 (AN6 サンプル 1) サンプル 23 (AN6 サンプル 2) ADC1BUF7 サンプル 8 (AN7 サンプル 1) サンプル 24 (AN7 サンプル 2) ADC1BUF8 サンプル 9 (AN8 サンプル 1) サンプル 25 (AN8 サンプル 2) ADC1BUF9 サンプル 10 (AN9 サンプル 1) サンプル 26 (AN9 サンプル 2) ADC1BUFA サンプル 11 (AN10 サンプル 1) サンプル 27 (AN10 サンプル 2) ADC1BUFB サンプル 12 (AN11 サンプル 1) サンプル 28 (AN11 サンプル 2) ADC1BUFC サンプル 13 (AN12 サンプル 1) サンプル 29 (AN12 サンプル 2) ADC1BUFD サンプル 14 (AN13 サンプル 1) サンプル 30 (AN13 サンプル 2) ADC1BUFE サンプル 15 (AN14 サンプル 1) サンプル 31 (AN14 サンプル 2) ADC1BUFF サンプル 16 (AN15 サンプル 1) サンプル 32 (AN15 サンプル 2) 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -27

28 PIC24F ファミリリファレンスマニュアル.9.3 ダブル 8 ワードバッファの使い方 図 -12 および例 -10 は 2 つの 8 ワードバッファを使った交互バッファに格納する例です BUFM ビットをセットすると 2 つの 8 ワードバッファが有効化されます この例ではサンプル後ごとに割り込みを発生します BUFM の設定は他の動作パラメータには影響を与えません まず 変換シーケンスはバッファ ADC1BUF0 への格納から開始します 最初の割り込み発生後 バッファは ADCBUF8 から書き込みを開始します BUFS ステータスビットは割り込み毎に交互にセットとクリアが繰り返されます 図 -12: 2 つの 8 ワードバッファを使って割り込みごとに 1 回 1 チャネルを変換する場合 変換トリガ A/D CLK TCONVTCONVTCONVTCONV TCONVTCONVTCONVTCONV TCONVTCONVTCONVTCONV CH0 への入力 AN3 AN3 AN3 SAMP BUFS ADC1BUF0 ADC1BUF8 AD1IF BSET AD1CON1, #ASAM BCLR IFS0, #AD1IF BCLR IFS0, #AD1IF 命令実行 DS39705A_JP - ページ -28 Advance Information 2007 Microchip Technology Inc.

29 第 章 例 -10: ダブルバッファモードで割り込みごとに 1 チャネルを変換する例 A/D の構成 AN3 を CH0+ 入力として選択する (CH0SA3:CH0SA0 = 0011) VR- を CH0- 入力として選択する (CH0NA = 0) 入力スキャンなしと設定 (CSCNA = 0) MUX A のみをサンプリングに使用する (ALTS = 0) AD1IF を毎回サンプリングごとにセットとする (SMPI3:SMPI0 = 0000) バッファを 2 つの 8 ワードセグメントに構成する (BUFM = 1) 動作シーケンス 1. MUX A の入力 AN3 をサンプル ; 変換しバッファ 0h に書き込む 2. AD1IF フラグをセット ( 有効であれば割り込み発生 ); 書き込みアクセスが自動的に別のバッファに切り替わる 3. MUX A の入力 AN3 をサンプル ; 変換しバッファ 8h に書き込む 4. AD1IF フラグをセット ( 有効であれば割り込み発生 ); 書き込みアクセスが自動的に別のバッファに切り替わる 5. (1-4) を繰り返す バッファに格納される結果 ( 2 サイクル後 ) バッファアドレス バッファ内容最初の AD1IF イベント時 バッファ内容 2 回目の AD1IF イベント時 ADC1BUF0 サンプル 1 (AN3 サンプル 1) ( 使用しない ) ADC1BUF1 ( 使用しない ) ( 使用しない ) ADC1BUF2 ( 使用しない ) ( 使用しない ) ADC1BUF3 ( 使用しない ) ( 使用しない ) ADC1BUF4 ( 使用しない ) ( 使用しない ) ADC1BUF5 ( 使用しない ) ( 使用しない ) ADC1BUF6 ( 使用しない ) ( 使用しない ) ADC1BUF7 ( 使用しない ) ( 使用しない ) ADC1BUF8 ( 使用しない ) サンプル 2 (AN3 サンプル 2) ADC1BUF9 ( 使用しない ) ( 使用しない ) ADC1BUFA ( 使用しない ) ( 使用しない ) ADC1BUFB ( 使用しない ) ( 使用しない ) ADC1BUFC ( 使用しない ) ( 使用しない ) ADC1BUFD ( 使用しない ) ( 使用しない ) ADC1BUFE ( 使用しない ) ( 使用しない ) ADC1BUFF ( 使用しない ) ( 使用しない ) 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -29

30 PIC24F ファミリリファレンスマニュアル.9.4 MUX A と MUX B の交互入力選択の使い方 図 -13 および例 -11 は MUX A および MUX B に割り当てられた入力の交互サンプリングの例です ALTS ビットをセットすると 交互入力選択が有効化されます 最初のサンプルでは CH0SA CH0NA ビットで指定された MUX A 入力を使用します 次のサンプルでは CH0SB CH0NB ビットで指定された MUX B 入力を使用します この例では 2 つの 8 ワードバッファの例も示しています 8 回目のサンプルごとに割り込みが発生し 割り込みごとに 8 ワードがバッファに格納されていることになります 図 -13: 交互入力選択を使って 2 つの入力を変換する場合 変換トリガ A/D CLK CH0 への入力 AN1 TCONV TCONV TCONV TCONV TCONV TCONV TCONV TCONV TCONV TCONV AN15 AN15 AN1 AN15 ASAM SAMP DONE BUFS ソフトウェアでクリア ADC1BUF0 ADC1BUF1 ADC1BUF2 ADC1BUF3 ADC1BUF4 ADC1BUF5 ADC1BUF6 ADC1BUF7 ADC1BUF8 ADC1BUF9 ADC1BUFA ADC1BUFB AD1IF ソフトウェアでクリア DS39705A_JP - ページ -30 Advance Information 2007 Microchip Technology Inc.

31 第 章 例 -11: MUX A と MUX B を交互で 2 つの入力を変換する例 A/D の構成 AN1 を MUX A CH0+ の入力として選択 (CH0SA3:CH0SA0 = 0001) VR- を MUX A CH0- の入力として選択 (CH0NA = 0) 入力スキャンなしに構成 (CSCNA = 0) AN15 を MUX B CH0+ の入力として選択 (CH0SB3:CH0SB0 = 1111) VR- を MUX B CH0- の入力として選択 (CH0NB = 0) MUX A と MUX B の交互サンプリングとする (ALTS = 1) AD1IF を 8 回目のサンプルごとにセットする (SMPI3:SMPI0 = 0111) バッファを 2 つの 8 ワードセグメントとする (BUFM = 1) 動作シーケンス 1. MUX A 入力の AN1 をサンプル ; 変換しバッファ 0h に書き込む 2. MUX B 入力の AN15 をサンプル ; 変換しバッファ 1h に書き込む 3. MUX A 入力の AN1 をサンプル ; 変換しバッファ 2h に書き込む 4. MUX B 入力の AN15 をサンプル ; 変換しバッファ 3h に書き込む 5. MUX A 入力の AN1 をサンプル ; 変換しバッファ 4h に書き込む 6. MUX B 入力の AN15 をサンプル ; 変換しバッファ 5h に書き込む 7. MUX A 入力の AN1 をサンプル ; 変換しバッファ 6h に書き込む 8. MUX B 入力の AN15 をサンプル ; 変換しバッファ 7h に書き込む 9. AD1I フラグをセット ( 有効ならば割り込み発生 ); 書き込みアクセスが自動的に別のバッファに切り替わる 10. (1-9) を繰り返す ; バッファ書き込みはバッファ8h から再開 ( 別のバッファの最初のアドレス ) バッファに格納される結果 (2 サイクル後 ) バッファアドレス バッファ内容最初の AD1IF イベント時 バッファ内容 2 回目の AD1IF イベント時 ADC1BUF0 サンプル 1 (AN1 サンプル 1) ( 使用しない ) ADC1BUF1 サンプル 2 (AN15 サンプル 1) ( 使用しない ) ADC1BUF2 サンプル 3 (AN1 サンプル 2) ( 使用しない ) ADC1BUF3 サンプル 4 (AN15 サンプル 2) ( 使用しない ) ADC1BUF4 サンプル 5 (AN1 サンプル 3) ( 使用しない ) ADC1BUF5 サンプル 6 (AN15 サンプル 3) ( 使用しない ) ADC1BUF6 サンプル 7 (AN1 サンプル 4) ( 使用しない ) ADC1BUF7 サンプル 8 (AN15 サンプル 4) ( 使用しない ) ADC1BUF8 ( 使用しない ) サンプル 9 (AN1 サンプル 5) ADC1BUF9 ( 使用しない ) サンプル 10 (AN15 サンプル 5) ADC1BUFA ( 使用しない ) サンプル 11 (AN1 サンプル 6) ADC1BUFB ( 使用しない ) サンプル 12 (AN15 サンプル 6) ADC1BUFC ( 使用しない ) サンプル 13 (AN1 サンプル 7) ADC1BUFD ( 使用しない ) サンプル 14 (AN15 サンプル 7) ADC1BUFE ( 使用しない ) サンプル 15 (AN1 サンプル 8) ADC1BUFF ( 使用しない ) サンプル 16 (AN15 サンプル 8) 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -31

32 PIC24F ファミリリファレンスマニュアル.10 A/D サンプリング要件 のアナログ入力モデルは図 -14 に示されています A/D の合計サンプリング時間はホールドキャパシタの充電時間の関数となります A/D の仕様精度を満たすためには 充電ホールドキャパシタ (CHOLD) をアナログ入力ピンの電圧レベルまで十分充電する必要があります ソースのインピーダンス (RS) 内部接続インピーダンス (RIC) 内部サンプリングスイッチのインピーダンス (RSS) の合計が CHOLD の充電にかかる時間に直接影響を及ぼします したがって アナログ源の結合インピーダンスは 選択されたサンプル時間内にホールドキャパシタを完全に充電できるよう十分小さいものである必要があります A/D の精度に対するピンの電流漏れの影響を最小にするための 最大の推奨ソースインピーダンス RS は 2.5 kω です アナログ入力チャネルが選択 ( 変更 ) されたあと このサンプリング機能は 変換開始に先立って完了している必要があります 内部ホールドキャパシタは各サンプル動作に先立ち放電された状態になります 最小 1 TAD 時間が変換と変換の間のサンプル時間として必要です 詳細は.16 項 電気的仕様 を参照して下さい 図 -14: のアナログ入力モデル Rs ANx RIC 250Ω サンプリングスイッチ RSS RSS 3 kω VA CPIN ILEAKAGE ±500 na CHOLD = 4.4 pf VSS 凡例 : CPIN VT ILEAKAGE RIC RSS CHOLD = 入力容量 = スレッショルド電圧 = 種々の結合によるピンの漏れ電流 = 内部接続抵抗 = サンプリングスイッチの抵抗 = サンプル / ホールドキャパシタ (DAC から ) 注 : CPIN の値はデバイスパッケージに依存し かつ未テストです Rs 5 kω なら CPIN による影響は無視できます DS39705A_JP - ページ -32 Advance Information 2007 Microchip Technology Inc.

33 第 章.11 変換関数 図 -15: A/D 変換関数 出力コード (2 進数 (10 進数 )) A/D の変換関数を図 -15 に示します 入力電圧の差分 (VINH VINL) がリファレンス ((VR+) (VR-)) と比較されます 入力電圧が ((VR+) (VR-))/1024 または 1.0 LSb のとき 最初のコード遷移がおきる のコードは VR- + (1.5 * ((VR+) (VR-))/1024) の中心とする のコードは VREFL + (512.5 * ((VR+) (VR-))/1024) の中心とする VR- + (((VR-) (VR-))/1024) 未満の入力は として変換される (VR-) + (1023 ((VR+) (VR-))/1024) を超える入力は として変換される (1023) (1022) (515) (514) (513) (512) (511) (510) (509) (1) (0) 電圧レベル V R- V R+ V R V R * (V R+ V R- ) * (V R+ V R- ) 1024 V R+ (VINH VINL) V R- + V R Microchip Technology Inc. Advance Information DS39705A_JP - ページ -33

34 PIC24F ファミリリファレンスマニュアル.12 A/D 精度 / 誤差 A/D の精度に関する説明資料のリストは.18 項 関連するアプリケーションノート を参照して下さい.13 スリープとアイドルモード中の動作 CPU バス 周辺モジュールのデジタルアクティビティが最小化されるので スリープとアイドルモードは変換ノイズを最小化するために有効です.13.1 RC A/D クロックなしの CPU スリープモード デバイスがスリープモードに入った場合 モジュールへのすべてのクロック源が停止し 論理 0 となります 変換の途中でスリープが発生すると A/D は内蔵 RC クロックジェネレータからクロック供給されることなく変換が中断されます スリープモードから抜けたとき 一部完了済変換が継続開始されることはありません レジスタ内容は スリープモードに入ったり出たりするデバイスの影響を受けません.13.2 RC A/D クロックありの CPU スリープモード A/D クロック源が内蔵 A/D RC オシレータに設定 (ADRC = 1) されると A/D モジュールはスリープモード中にも動作可能です この場合には 変換の際のデジタルスイッチノイズを除去します 変換が完了すると DONE ビットがセットされ 変換結果は A/D 結果バッファである ADC1BUF に格納されます A/D 割り込みが有効 (AD1IE = 1) ならば A/D 割り込み発生時にデバイスはスリープからウェイクアップします その後のプログラム実行は そのときの A/D 割り込みが現行 CPU 優先順位より高い場合 A/D 割り込みサービスルーチンから再開されます そうでなければ デバイスをスリープモードにした PWRSAV 命令の次の命令から実行が続行されます A/D 割り込みが有効でなければ ADON ビットがセットのままになっていても この時 A/D モジュールはオフになります A/D モジュール動作におけるデジタルノイズの影響を最小にするために ユーザーは A/D 変換がスリープモードでも実行できる変換トリガ源を選ぶ必要があります 自動変換トリガオプション (SSRC2:SSRC0 = 111) は スリープ中のサンプリングおよび変換に使用できます 自動変換オプションを使用するときには ADON ビットのセットは PWRSAV 命令より前に実行して下さい 注 : A/D モジュールをスリープ中も動作させるには A/D クロック源を RC にセット (ADRC = 1) して下さい.13.3 CPU アイドルモード中の A/D 動作 A/D では ADSIDL ビット (AD1CON1<13>) により モジュールをアイドル中に動作停止するかアイドル中も動作継続するかが選択できます ADSIDL = 0 ならば デバイスがアイドルモードに入ってもモジュールの通常動作が継続されます A/D 割り込みが有効 (AD1IE = 1) ならば A/D 割り込み発生時にデバイスはアイドルモードからウェイクアップします A/D 割り込みが現行 CPU 優先順位より高い場合には プログラム実行は A/D 割り込みサービスルーチンから再開されます そうでない場合には デバイスをアイドルモードにした PWRSAV 命令の次の命令から実行が続行されます ADSIDL = 1 ならば モジュールはアイドル中は動作を停止します 変換中にデバイスがアイドルモードに入ると変換は中断されます アイドルモードからウェイクアップしても 一部完了済みの変換動作が継続開始されることはありません DS39705A_JP - ページ -34 Advance Information 2007 Microchip Technology Inc.

35 第 章.14 リセットの影響.13.4 周辺モジュール無効化 (PMD) レジスタ 周辺モジュール無効化 (PMD) レジスタは A/D へのクロック供給源を全て停止させることで無効化する手段を提供します 適切な PMD 制御ビットで周辺モジュールが無効化されると 周辺モジュールは最小消費電力の状態となります その周辺モジュールに関連する制御とステータスレジスタも無効化されるため それらのレジスタへの書き込みは何の影響も与えず 読み出した値も無効です 周辺モジュールは PMDx レジスタ内の ADC1MD ビットをクリアすることでのみ有効化されます デバイスリセットによりすべてのレジスタが強制的にリセット状態にされます これにより A/D モジュールは強制的にオフになり 進行中のすべての変換は中断されます アナログ入力と複合されたすべてのピンは アナログ入力として構成されます 対応する TRIS ビットがセットされます ADC1BUF レジスタの値はパワーオンリセットの間には初期化されませんので それらは不定のデータが含まれます 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -35

36 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ レジスタマップ 表 -3: ADC レジスタマップ PIC24F に関連するレジスタのまとめを表 -3 に示します ファイル名ビット 15 ビット 14 ビット 13 ビット 12 ビット 11 ビット 10 ビット 9 ビット 8 ビット 7 ビット 6 ビット 5 ビット 4 ビット 3 ビット 2 ビット 1 ビット 0 リセット後の値 ADC1BUF0 ADC データバッファ 0 xxxx ADC1BUF1 ADC データバッファ 1 xxxx ADC1BUF2 ADC データバッファ 2 xxxx ADC1BUF3 ADC データバッファ 3 xxxx ADC1BUF4 ADC データバッファ 4 xxxx ADC1BUF5 ADC データバッファ 5 xxxx ADC1BUF6 ADC データバッファ 6 xxxx ADC1BUF7 ADC データバッファ 7 xxxx ADC1BUF8 ADC データバッファ 8 xxxx ADC1BUF9 ADC データバッファ 9 xxxx ADC1BUFA ADC データバッファ 10 xxxx ADC1BUFB ADC データバッファ 11 xxxx ADC1BUFC ADC データバッファ 12 xxxx ADC1BUFD ADC データバッファ 13 xxxx ADC1BUFE ADC データバッファ 14 xxxx ADC1BUFF ADC データバッファ 15 xxxx AD1CON1 ADON ADSIDL FORM1 FORM0 SSRC2 SSRC1 SSRC0 ASAM SAMP DONE 0000 AD1CON2 VCFG2 VCFG1 VCFG0 OFFCAL CSCNA BUFS SMPI3 SMPI2 SMPI1 SMPI0 BUFM ALTS 0000 AD1CON3 ADRC SAMC4 SAMC3 SAMC2 SAMC1 SAMC0 ADCS7 ADCS6 ADCS5 ADCS4 ADCS3 ADCS2 ADCS1 ADCS AD1CHS CH0NB CH0SB3 CH0SB2 CH0SB1 CH0SB0 CH0NA CH0SA3 CH0SA2 CH0SA1 CH0SA AD1PCFG PCFG15 PCFG14 PCFG13 PCFG12 PCFG11 PCFG10 PCFG9 PCFG8 PCFG7 PCFG6 PCFG5 PCFG4 PCFG3 PCFG2 PCFG1 PCFG AD1CSSL CSSL15 CSSL14 CSSL13 CSSL12 CSSL11 CSSL10 CSSL9 CSSL8 CSSL7 CSSL6 CSSL5 CSSL4 CSSL3 CSSL2 CSSL1 CSSL 凡例 : x = リセット後は不定 = 未実装 読むと 0 リセット後の値は 16 進数で表しています 第 章

37 第 章.16 電気的仕様 図 -16: A/D 変換のタイミング BSET AD1CON1, SAMP BCLR AD1CON1, SAMP Q3/Q4 ( 注 2) A/D CLK (1) A/D DATA ADC1BUF 古いデータ 新しいデータ AD1IF TCY SAMP サンプリング停止 注 1: A/D クロック源に RC が選択されると A/D クロック開始前に 1 TCY が追加される これでスリープ命令が実行できるようになる 2: これは最小の RC 遅延 ( 標準 100 ns) で この後アナログ入力がホールドキャパシタにまた接続される 表 -4: A/D 変換要件 パラメータ No. 記号 特性 Min Typ Max 単位 条件 AD130 Tad A/D クロック周期 75 ns TOSC に基づく 250 ns A/D RC モード AD131 Tcnv 変換時間 TAD ( 注 1) ( アクイジション時間は含まない ) AD132 Tacq アクイジション時間 750 ns ( 注 2) AD135 Tswc 変換からサンプリングへの切替時間 ( 注 3) AD137 Tdis 放電時間 0.5 TAD 注 1: ADC1BUF レジスタは次の TCY サイクルで読み出し可能 2: この時間は ホールドキャパシタが新しい入力電圧になるまでの時間で このときの入力電圧は変換後のフルスケールの変化とします (VDD から VSS または VSS から VDD) 3: デバイスクロックの次のサイクルで 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -37

38 PIC24F ファミリリファレンスマニュアル. 設計の秘訣 質問 1: A/D のシステムパフォーマンスはどうすれば最適化できますか? 回答 : A/D のパフォーマンスを最適化するには主に 3 つの主要検討項目があります 1. タイミング仕様をすべて満たしていることをご確認ください モジュールのオフからオン切り替え時には サンプルを取得する前に待機する必要のある最低限の遅延があります 入力チャネル変更時にも 同じく待機が必要な最小限の遅延があり そして最後に各ビット変換のために選択される時間である TAD があります この遅延は AD1CON3 で選択しますが.16 項 電気的仕様 の仕様範囲内の値である必要があります TAD が短か過ぎる場合 その結果は変換終了前に十分に変換されない可能性があり TAD が長過ぎる場合 サンプリングコンデンサの電圧は変換が完了される前に放電する可能性があります このタイミング仕様はデバイスデータシートの 電気的仕様 の項で説明されています 2. しばしば アナログ信号のソースインピーダンスが高く (2.5 kω を超える ) そのためソースからの漏れ電流や サンプルコンデンサを十分充電できないなど 精度に影響を及ぼします 入力信号がそれほど高速に切り替わらない場合は 0.1 μf のコンデンサをアナログ入力に接続してみてください このコンデンサがサンプルするアナログ電圧で充電され 4.4 pf 内蔵ホールドキャパシタを充電するために十分な瞬時電流を供給します 3. A/D 変換を開始する前にデバイスをスリープモードにしてください RC クロック源の選択がスリープモードでの変換に必要です このテクニックにより CPU 及びその他の周辺モジュールからのデジタルノイズが最小化されるので精度が向上します 質問 2: A/D 変換に関する良い参考文献をご存知ですか? 回答 : A/D 変換を理解するのに良い参考文献は Prentice Hall 発行の Analog-Digital Conversion Handbook 第 3 版です (ISBN ) 質問 3: チャネル / サンプルとサンプル / 割り込みの組み合わせがバッファサイズを超えています バッファに何が起きますか? 回答 : この構成は推奨できません バッファには不定の結果が格納されます DS39705A_JP - ページ -38 Advance Information 2007 Microchip Technology Inc.

39 第 章.18 関連するアプリケーションノート この項では マニュアルのこの章に関連するアプリケーションノートをリストアップします これらのアプリケーションノートは 特に PIC24F デバイスファミリー用に書かれているわけではありませんが その概念は適切であり 変更 あるいは制限事項を考慮に入れて使用可能です 現在 に関連するアプリケーションノートは次の通りです タイトル アプリケーションノート # Using the Analog-to-Digital (A/D) Converter AN546 Four-Channel Digital Voltmeter with Display and Keyboard AN557 Understanding A/D Converter Performance Specifications AN693 注 : PIC24F ファミリデバイスに関するその他のアプリケーションノートやコード例についてはマイクロチップウェブサイト ( をご覧下さい 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -39

40 PIC24F ファミリリファレンスマニュアル.19 改版履歴 リビジョン A (2006 年 4 月 ) 本文書の初版リリース DS39705A_JP - ページ -40 Advance Information 2007 Microchip Technology Inc.

41 第 章 ノート : 2007 Microchip Technology Inc. Advance Information DS39705A_JP - ページ -41

PIC24F Family Reference Manual Section 9 WDT

PIC24F Family Reference Manual Section 9 WDT 第 9 章 (WDT) ハイライト 本章では次のトピックについて説明します 9.1 はじめに... 9-2 9.2 WDT の動作... 9-2 9.3 レジスタマップ... 9-5 9.4 設計の秘訣... 9-6 9.5 関連するアプリケーションノート... 9-7 9.6 改版履歴... 9-8 9 2007 Microchip Technology Inc. Advance Information

More information

dspic33f/pic24h ファミリリファレンスマニュアル Note: ファミリリファレンスマニュアルの本セクションは デバイスデータシートの補足を目的としています 本セクションの内容は dspic33f/pic24h ファミリの一部のデバイスには対応していません 本書の内容がお客様のご使用にな

dspic33f/pic24h ファミリリファレンスマニュアル Note: ファミリリファレンスマニュアルの本セクションは デバイスデータシートの補足を目的としています 本セクションの内容は dspic33f/pic24h ファミリの一部のデバイスには対応していません 本書の内容がお客様のご使用にな 16 セクション 16. アナログ / デジタルコンバータ (ADC) ハイライト 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 本セクションには以下の主要項目を記載しています アナログ / デジタルコンバータ (ADC) 16.1 はじめに... 16-2 16.2 制御レジスタ... 16-6 16.3 サンプリング / 変換シーケンスの概要...

More information

Section 16. Analog-to-Digital Converter (ADC)

Section 16. Analog-to-Digital Converter (ADC) 16 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 16. A/D コンバータ (ADC) A/D コンバータ (ADC) ハイライト 本セクションには下記の主要項目を記載しています 16.1 はじめに... 16-2 16.2 制御レジスタ... 16-5 16.3 サンプリング / 変換シーケンスの概要... 16-16

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

39734a_JP.fm

39734a_JP.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います 46 セクション 46 スケーラブルコンパレータモジュール スケーラブルコンパレータモジュール ハイライト 本セクションでは 以下の項目について説明します 46.1 はじめに...46-2 46.2 制御レジスタ...46-4 46.3 コンパレータの動作...46-7 46.4 コンパレータ応答時間...46-7

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

PIC24F Reference Manual Sect.23

PIC24F Reference Manual Sect.23 第 23 章 ハイライト 本章では次のトピックについて説明します 23.1 はじめに... 23-2 23.2 ステータスと制御レジスタ... 23-3 23.3 動作モード... 23-7 23.4 マスターモードのクロック周波数... 23-18 23.5 省電力モードでの動作... 23-19 23.6 レジスタマップ... 23-20 23.7 電気的仕様... 23-21 23.8 関連するアプリケーションノート...

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

Section 36. Programmable Cyclic Redundancy Check (CRC)

Section 36. Programmable Cyclic Redundancy Check (CRC) 36 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 36. プログラマブル巡回冗長検査 () プログラマブル ハイライト 本セクションには以下の主要項目を記載しています 36.1 はじめに... 36-2 36.2 モジュールの概要... 36-3 36.3 レジスタ... 36-3 36.4 エンジン... 36-6 36.5

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

Microsoft Word - Ladder Tool 使çfl¨ã…žã…‰ã…¥ã‡¢ã…«ã…©ã…•ã…¼ã†ªã†Š_ docx

Microsoft Word - Ladder Tool 使çfl¨ã…žã…‰ã…¥ã‡¢ã…«ã…©ã…•ã…¼ã†ªã†Š_ docx 2018/11/05 第 1 版 Ladder Tool 使用マニュアル 1. はじめに LadderTool は ラダーからマイコンプログラムを作成する 連枝 を改良し作成された ラダープログラム作成ツールです 作成したプログラムは DIPPLC で動作するニーモニッ クで保存されます そのため 通常使用する場合は DIPPLC をご用意ください 2. 使い方 ソフトウェアのフォルダ内にある LadderTool

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

Section 33. Audio Digital-to-Analog Converter (DAC)

Section 33. Audio Digital-to-Analog Converter (DAC) 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 33. オーディオ コンバータ (DAC) ハイライト 本セクションには以下の主要項目を記載しています 33.1 はじめに... 33-2 33.2 主な特長... 33-3 33.3 DAC レジスタ... 33-3 33.4 モジュールの動作... 33-7 33.5 割り込みとステータス...

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

39733a.fm

39733a.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 45. 拡張データ空間 (EDS) を備えたデータメモリ ハイライト 本セクションには下記の主要項目を記載しています 45.1 はじめに... 45-2 45.2 データメモリの構成... 45-3 45.3 拡張データ空間... 45-7 45.4 データ配置... 45-14

More information

Timers_JP.fm

Timers_JP.fm 14 14.1 14-2 14.2 14-3 14.3 14-6 14.4 14-9 14.5 14-14 14.6 14-14 14.7 16 14-15 14.8 2 32 khz 14-15 14.9 32 14-16 14.10 32 14-18 14.11 32 14-21 14.12 14-21 14.13 14-22 14.14 14-23 14.15 14-24 14.16 14-25

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 CMT の概要 3 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3

More information

PIC24F Family Reference Manual Section 8 Interrupts

PIC24F Family Reference Manual Section 8 Interrupts 第 8 章 ハイライト 本章では次のトピックについて説明します 8.1 はじめに... 8-2 8.2 マスクできないトラップ... 8-5 8.3 処理のタイミング... 8-9 8.4 制御とステータスレジスタ... 8-12 8.5 設定手順... 8-20 8.6 レジスタマップ... 8-21 8.7 設計の秘訣... 8-23 8.8 関連するアプリケーションノート... 8-24 8.9

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

スライド 1

スライド 1 6.LED( 発光ダイオード ) の制御を学ぼう 本稿の Web ページ http://www.cmplx.cse.nagoya-u.ac.jp/~furuhashi/education/pic/index.html 1 5V R 4 SW 1 R 3 R 2 SW 2 SW 3 PIC16F84A 1 RA2 RA1 18 2 RA3 RA0 17 3 RA4 OSC1 16 4 MCLR OSC2

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

必要システム ( お客様ご用意 ) 形式 :MSRPAC-2010 MSRPAC 仕様書 NS-7404 Rev.2 Page 2/13

必要システム ( お客様ご用意 ) 形式 :MSRPAC-2010 MSRPAC 仕様書 NS-7404 Rev.2 Page 2/13 PC レコーダシリーズ PC レコーダ総合支援パッケージ主な機能と特長 Windows パソコンにインストールして動作させる工業用記録計 MSR128LS MSR128LV は最速 50 ミリ秒周期でアナログ量 8 点の記録が可能 MSR128 はアナログ デジタル 積算カウンタ入力合わせて 1 28 チャネルの記録が可能 CSV ファイルにより 他の Windows アプリケーションソフトウェアにてデータの活用が可能

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです 開発環境は MicrosoftVi

このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです 開発環境は MicrosoftVi DigitalGaugeCounter DG3000 シリーズ ダイナミックリンクライブラリ GaugeC48.dll(DLL) 取扱説明書 このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

MW100 Modbusプロトコルによるデータ通信の設定について

MW100 Modbusプロトコルによるデータ通信の設定について Modbus プロトコルによるデータ通信の設定について 概要 設定の手順 DAQMASTER の Modbus 通信について 設定の手順を説明します このマニュアルでは イーサネットを使った Modbus 通信 (Modbus/TCP) で 2 台の を接続し データの送受信をするまでの手順を取り上げます なお Modbus クライアント機能を使うには 演算機能 (/M1 オプション ) が必要です

More information

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド RH850の割り込み / 例外実現方法 CC-RH アプリケーションガイド R20UT3546JJ0101 2018.10.12 ソフトウェア開発統括部 ソフトウェア技術部ルネサスエレクトロニクス株式会社 アジェンダ 概要ページ 03 割り込み / 例外発生時に実行する関数の定義ページ 10 直接ベクタ方式のベクタの定義ページ 17 テーブル参照方式のベクタの定義ページ 25 その他 割り込み制御ページ

More information

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB)

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB) コンピュータ工学講義プリント (12 月 11 日 ) 今回は ローテイト命令を用いて 前回よりも高度な LED の制御を行う 光が流れるプログラム 片道バージョン( 教科書 P.119 参照 ) 0.5 秒ごとに 教科書 P.119 の図 5.23 の様に LED の点灯パターンが変化するプログラムを作成する事を考える この様にすれば 光っている点が 徐々に右に動いているように見え 右端まで移動したら

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

<4D F736F F D B D A778F4B835A EC B290882E646F63>

<4D F736F F D B D A778F4B835A EC B290882E646F63> RL78 マイコン学習学習セットマニュアル実用編 第 1 版 2015.4.23 第 1 版 製品概要 本マニュアルは RL78/I1A R5F107DE(38 ピン ) マイコンを使ったマイコン学習セッ トの添付 CD のサンプルプログラムの動作について解説されています 実用編では入門編で見につけた知識を元に 実用になる機器のプログラムの書き方 ハードウエアの扱 い方をサンプルプログラムから重点的に学習します

More information

問題 バイポーラ電源がないと 正と負の電圧や電流を瞬断なくテスト機器に供給することが困難になります 極性反転リレーやスイッチ マトリクスを持つ 1 象限または 2 象限電源では V またはその近傍に不連続が生じ これが問題になる場合があります ソリューション 2 象限電圧のペアを逆直列に接続すれば

問題 バイポーラ電源がないと 正と負の電圧や電流を瞬断なくテスト機器に供給することが困難になります 極性反転リレーやスイッチ マトリクスを持つ 1 象限または 2 象限電源では V またはその近傍に不連続が生じ これが問題になる場合があります ソリューション 2 象限電圧のペアを逆直列に接続すれば 太陽電池セル / モジュール向けテスト ソリューション Agilent 663XB 電源を逆接続して 太陽電池セル / モジュール テスト用の 4 象限動作を実現 Application Note 概要 電源を使って太陽電池セル / モジュールの性能を完全に特性評価するには 電圧を正方向と逆方向で印加する必要があります ソーラ デバイスが明状態 ( 光が照射された状態 ) のときは 電源は可変電圧負荷として動作し

More information

Microsoft Word - T-ADA16S.doc

Microsoft Word - T-ADA16S.doc T-ADA16S rev.1.0 PC/104 バスシリーズ 12ビットAD 12ビットDA 絶縁 IO 取扱説明書 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします はじめにこのたびは 弊社 T-IADA168 をお買い上げ頂きましてまことにありがとうございます このマニュアルは T-IADA168 の概要等について説明しています 各 LSI についての詳細は必要に応じてデータシートを参照してください ハードウエアの不具合に関しましてのサポートはいたしますが RTOS を含めたソフト面のサポートは基本的にはしておりません 注意事項 (1) 本書の内容に関しては将来予告なしに変更することがあります

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

PIC

PIC PIC - 1 ページ 2 週目 UBW を使用してみる 2010 年 5 月 23 日 15:28 前回の Gainer mini はあくまでも PC 側にプログラムがあり PIC は IO ボックス的な使用しかできなかった 入出力デバイスとして PIC を使う場合は 簡易で便利であるが それ以上の事 (PC なしでの動作 PC と対等の動作 ) は出来ない 今週からは 本来の PIC としての使い方を学ぶ

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS スプリット演算器 MFS2 用コンフィギュレータソフトウェア MFS2CFG バージョン 0.02 取扱説明書 1/10 NM-9307 改 2 1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

Microsoft PowerPoint - OS04.pptx

Microsoft PowerPoint - OS04.pptx この資料は 情報工学レクチャーシリーズオペレーティングシステム松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました オペレーティングシステム #4 並行プロセス : 排他制御基礎 パワーポイント 2007 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ

More information

パルス波高値計測回路の製作

パルス波高値計測回路の製作 パルス波高値計測回路の製作 吉田久史 豊田朋範 自然科学研究機構分子科学研究所装置開発室 概要極端紫外光実験施設 (UVSOR) の自由電子レーザー (FEL) 実験において 透過型光強度モニターからのパルス信号の波高値を計測するための電子回路が必要となった この情報は最終的に電子分光装置で使用する TDC(Time to Digital Converter) により時間情報としてパソコンに取り込みたいという要望が有り

More information

Microsoft PowerPoint - Sol7 [Compatibility Mode]

Microsoft PowerPoint - Sol7 [Compatibility Mode] ミニクイズ 4 E ハザード ( つ前の命令の結果を必要とする状況 ) が発生する条件を つ挙げよ. また それぞれの時に 制御線 ForwardA, ForwardB はどのように設定すれば良いか? ( 回答 ) E/.RegWrite= かつ E/.RegisterRd = ID/.RegisterRs この時,ForwardA = と制御すれば良い. E/.RegWrite= かつ E/.RegisterRd

More information

CSM_H5S_SGTA-024_2_15

CSM_H5S_SGTA-024_2_15 H5S H5S 1 2 H5S H5S 3 4 H5S H5S 5 6 H5S RESET TEST COPY CYCLE CLEAR TIME ADJ OUT PULSE TIMER HOLIDAY / ON AUTO OFF OUT SAT FRI SUN MON TUE WED THU P2 P1 RUN PULSE h min WRITE OUT1 OUT2 ON AUTO OFF OUT

More information

作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 (1.1)

作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 (1.1) 作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 2013.6(1.1) 本簡単取扱説明書は あくまで簡易な使用方法についての取扱説明書です ご使用に関 して機器取扱説明書を十分ご理解の上で正しくご使用くださるようお願いします 注意 本簡単取扱説明書は 簡易な使用方法についての取扱説明 書です 詳細については機器取扱説明書十分理解して使用 してください 1 本品仕様 P-1

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code // USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 2017.03.16 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code void main(void) /* IO ピン初期設定 */ ANSEL = 0x00; // 0b10000000

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

DS39708A_JP_UART

DS39708A_JP_UART 第 21 章 ハイライト 本章では次のトピックについて説明します 21.1 はじめに... 21-2 21.2 制御レジスタ... 21-3 21.3 ボーレートジェネレータ (BRG)... 21-9 21.4 の構成... 21-13 21.5 送信部... 21-14 21.6 受信部... 21-18 21.7 の 9 ビット通信の使い方... 21-21 21.8 ブレーク文字の受信...

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

TO: Katie Magee

TO:	Katie Magee アプリケーション ノート AN-1053 ip1201 または ip1202 を搭載した回路の電源起動法 David Jauregui, International Rectifier 目次項 1 はじめに...2 2 電源起動法...2 2.1 シーケンシャルな立ち上げ...3 2.2 比例関係を保った立ち上げ...3 2.3 同時立ち上げ...4 3 結論...6 多くの高性能な DSP( デジタル

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 5. メモリ管理 (2) 概要ページ管理 式ページ置換アルゴリズム 28/5/23 メモリ管理 (2) 1 ページング ( 復習 ) 仮想アドレス空間, 主記憶 ( 実アドレス空間 ) を固定サイズのページに分割 仮想アドレス空間のページを主記憶 ( メモリ ) のページに対応させる ページテーブル ( 変換表 ) を実メモリ上に保持 ページを単位としたアドレス変換 ( 仮想ページ番号, オフセット

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

UIOUSBCOM.DLLコマンドリファレンス

UIOUSBCOM.DLLコマンドリファレンス UIOUSBCOM.DLL UIOUSBCOM.DLL Command Reference Rev A.1.0 2008/11/24 オールブルーシステム (All Blue System) ウェブページ : www.allbluesystem.com コンタクト :contact@allbluesystem.com 1 このマニュアルについて...3 1.1 著作権および登録商標...3 1.2

More information