Main-Title

Size: px
Start display at page:

Download "Main-Title"

Transcription

1 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1

2 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3 Selete 量産技術開発 < 開発フェーズへの現状認識 > 個々の要素開発主体のフェース から 1 量産を目指した 2 リソインテク レーション主導のフェース に移行しつつある時期と認識 2

3 EUVL 技術の実用化への課題と開発方針 (1) 要素技術開発の加速 EUVL Mask 無欠陥マスク 異物フリーマスク搬送 保管 マスクコンタミネーション制御 Source 高信頼性 高出力光源 集光ミラーの長寿命化 EUV Exp. Tool 光学系 ( 投影系 照明系 ) の高品質化 長寿命化 EUVL Resist 高解像性 高感度 低 LER 低アウトガス図面提供 :EUVA 3

4 EUVL 技術の実用化への課題と開発方針 (2) MIRAI 3 EUV Flare Correction EUV Exp. Tool Source Cleaning リソグラフィインテグレーション技術開発 Mask Repair Mask Mask Handling Exp.tool Spec. Defect Inspection EUVL Mask EUV Lithography Design Metrology Blank Inspection Mask Spec. Resist Spec. Resist Material EB Writing EUV EUV Lithography Integration EUVL Resist Process Selete 4

5 小面積露光装置とフルフィールド露光装置の比較 代表的な装置 小面積露光装置 MET / HiNA / SFET フルフィールド露光装置 ADT / EUV1 光学系概要 露光領域 ( フィールト サイス ) 0.2 X 0.6 mm (SFET) 26 X 33 mm (EUV1) 使用目的 要素技術開発 ( マスク レジストなど ) リソグラフィ性能の総合評価 ( リソグラフィ インテグレーション技術開発 ) ( MET: Micro Exposure Tool, HiNA: High Numerical Aperture, ADT: Alpha Demo Tool ) 5

6 EUVL 開発スケジュール FY リソグラフィ要素開発 リソグラフィインテグレーション SFET* *Small Field Exposure Tool ** Full Field Exposure Tool マスク構造 材料の最適化 レジスト材料 露光装置評価 - 光学性能 - フレア特性 EUV1** - 光学系寿命 - 光源 集光ミラー寿命 リソグラフィ性能総合評価 FEP/BEP TEG を用いたリソグラフィ検証 信頼性 生産性 マスク欠陥 ペリクルレスマスクハンドリング技術開発 マスクコンタミネーション制御技術開発 マスクインフラ開発 ( 欠陥検査 修正 ) hp45 プロセス仕様 hp32 プロセス仕様 β 機 6

7 SFET の外観 マスク搬送部 本体部 光源部 ウェハ搬送部 レジスト処理装置 7

8 SFET の装置性能 検査項目規格結果備考 中心波長 13.5±0.1 nm nm 光源およびミラー反射率より計算 波面収差 ( 注 ) < 0.9nm RMS 0.71nm RMS 波面計測機の測定値 解像 32 nm L&S 26 nm L&S 露光結果 フレア < 7% 6.64% 波面計測値 (MSFR) より計算 ステージ性能 < 8 nm X < 1.3 nm Y < 1.5 nm 干渉計計測によるステージ偏差 (3σ/10 秒間 ) フォーカス精度 < 8 nm <3.5 nm 計測再現性 (3σ) ( 注 ) 光を波として扱う波動光学の用語 理想波面と実波面とのずれを表す 8

9 SFET 露光結果 100nm 照明条件 : 輪帯照明 0.3/ nm 45nm 32nm 100nm 100nm 28nm 26nm 9

10 26nm ステージ性能の影響 ( シミュレーションによる予測 ) SFET& 理想レジスト ( ステージ誤差 :2nm の場合 ) SFET& モデルレジスト ( ステージ誤差 :2nm の場合 ) ステージ誤差が大きいと孤立ラインパターンは解像しない ステージ誤差 :10nm の場合 10

11 EUV 露光装置の比較 製作 設置機関 MET HiNA-3 SFET ADT EUV1 β 機量産機 Zeiss, EXITECH LBNL SEMATECH Intel Nikon ASET Canon, EUVA Selete ASML ANT(INVENT) IMEC Nikon Selete NA ~ 倍率 1/5 1/5 1/5 1/4 1/4 露光領域 (mm) 0.2 x x x x x x 33 投影光学系 2 mirrors (Schwarzschild) 6 mirrors フレア 10% 7% 7% 16% 10% 光源 SR, DPP(Xe) SR LPP(Xe) /DPP(Xe) DPP(Sn) DPP(Xe) 光源出力 0.5W 10W 10W ~50W ~100W* 解像度 ( 報告 ) 28nm 28nm 26nm 32nm ( 注 ) 解像度のチャンピオンデータは 25nm ラインアンドスペースパターン (L/S) (SPIE2007でRohm&Haasから報告 二光束干渉装置(Paul Scherrer Institute ) を使用 ) * 115W(5mJ/cm 2 ) 180W(10mJ/cm 2 ) 11

12 SFET の活用 : 今後の展開 (1) 目的 <EUVLマスク構造 材料の最適化 > EUVLマスク開発マスクパターンの転写性評価による 1 マスク構造 材料の最適化レチクル搬送部 2 マスク仕様の構築 レジスト材料開発 光源 光学系 マスク寿命等評価マスク基板 <EUVL マスク欠陥仕様の構築 > 本体部 吸収体 多層膜 ウェハ搬送部 光源部 バッファ層 キャッピング膜 裏面コーティング 40nm 欠陥 CD (nm) レジスト処理装置 10%CD 許容 Defect area on mask (nm2) 32nmL&S 上のマスク欠陥ウエハ上の像イメージ ( 左図の例 ) マスク欠陥がウエハ上寸法に与える影響 12

13 SFET の活用 : 今後の展開 (2) 目的 EUVL マスク開発マスクパターンの転写性評価による 1 マスク構造 材料の最適化 2 マスク仕様の構築 レジスト材料開発 光源 光学系 マスク寿命等評価 Trade-off 感度 < 10 mj/cm 2 Trade-off 解像性 < 32 nm Trade-off LWR (3 sigma) 1.7 nm 26nm レジスト材料メーカーとの連携による開発 大学との共同研究 : レジスト反応機構に基づいた基礎研究 13

14 SFET の活用 : 今後の展開 (3) 目的 EUVLマスク開発マスクパターンの転写性評価による 1 マスク構造 材料の最適化 2 マスク仕様の構築 レジスト材料開発 光源 光学系 マスク寿命等評価 EUV 光は高エネルギーで有機材料を光分解 化学増幅系レジストでは 主に光酸発生剤 保護基が分解し アウトガスを放出 マスクおよびに露光光学系に悪影響を与える マスクおよび光学系のコンタミネーション制御技術 - 酸化 (H2O,O2) とカーボン膜堆積 (CxHy) - CxHy H2O CxHy Mask Optics CxHy Prevention Resist out-gassing Cleaning H2O H2O CxHy Mitigation Anti-oxidation capping layer Exposure Chamber EUVA と連携 Selete Selete 開発テーマ アウトガス評価 解析技術の確立 露光装置 マスクのコンタミネーション評価 マスククリーニング技術開発 14

15 近々の国内 EUV 関連報告会の予定 平成 18 年度極端紫外線露光技術研究成果報告会日時 :5 月 30 日 ( 水 ) 13:00-18:50 場所 : 東京国立博物館平成館大講堂主催 : 技術研究組合極端紫外線露光システム技術開発機構 (EUVA) 共催 : 技術研究組合超先端電子技術開発機構 (ASET) 共催 : 株式会社半導体先端テクノロジーズ (Selete) 文部科学省リーディング プロジェクト平成 18 年度成果報告会日時 :5 月 31 日 ( 木 ) 10:00-18:00 場所 : 日本科学未来館主催 : 文部科学省リーディング プロジェクト受託研究組織 共催 : 技術研究組合極端紫外線露光システム技術開発機構 (EUVA) Selete Symposium 2007 日時 :6 月 1 日 ( 金 ) 10:00-19:10 場所 : つくば国際会議場主催 : 株式会社半導体先端テクノロジーズ (Selete) 15

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

<4D F736F F D2082B182EA837A CC8DC E9197BF332D322D A955D89BF816988C4816A8A DCF F4390B38DCF CEA8F4390B DCF817A2E6

<4D F736F F D2082B182EA837A CC8DC E9197BF332D322D A955D89BF816988C4816A8A DCF F4390B38DCF CEA8F4390B DCF817A2E6 第 20 回研究評価委員会 資料 3-2-3 極端紫外線 (EUV) 露光システム開発プロジェクト 事後評価報告書 ( 案 ) 概要 目 次 ( 頁 ) 分科会委員名簿 1 プロジェクト概要 2 評価概要 ( 案 ) 6 評点結果 12 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会 極端紫外線(EUV) 露光システム開発プロジェクト ( 事後評価 ) 分科会委員名簿 氏名 所属 (

More information

DiovNT

DiovNT EUV 露光技術の開発と放射光の役割 岡崎信次技術研究組合超先端電子技術開発機構 135 8073 東京都江東区青海 2 45 タイム24ビル10F 要旨光リソグラフィの解像限界を突破する技術として,EUV (Extreme Ultra-Violet) 光を用いた露光技術が注目されている 本技術によれば, ナノメータ領域の量産加工技術も見えてくるが, 開発課題も多い EUV 露光の光源として, 放射光を用いることは無いが,

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

第7章 WG5 リソグラフィ

第7章 WG5 リソグラフィ 第 6 章 WG5 リソグラフィ 6-1 はじめに 2008 年度 WG5( リソグラフィ WG) では ITRS2008update 版の作成に向けて 技術世代に対応した解決策候補 (Potential Solutions) の技術調査 各種テーブルの見直し クロスカット活動などを行うとともに 2009 版の作成指針を絞り込んだ Lithography itwg は SEMATECH から出ているリーダーの下

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

<4D F736F F D A955D89BF95F18D908F C4816A81418F9C82AD ED28EC08E7B8ED28E9197BF E955D89BF8F91CCABB0CFAFC493C696408DC C55F FC92F95F E A A819A2E646F6

<4D F736F F D A955D89BF95F18D908F C4816A81418F9C82AD ED28EC08E7B8ED28E9197BF E955D89BF8F91CCABB0CFAFC493C696408DC C55F FC92F95F E A A819A2E646F6 極端紫外線 (EUV) 露光システム開発プロジェクト 事後評価報告書 平成 21 年 2 月 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会 平成 年 月 独立行政法人新エネルギー 産業技術総合開発機構 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会委員長 NEDO 技術委員 技術委員会等規程第 3 条の規定に基づき 別添のとおり評価結果について報告します 目次 はじめに

More information

DiovNT

DiovNT トピックス X 線露光技術の現状 田口孝雄, 松井安次 技術研究組合 超先端電子技術開発機構 Advances in Proximity X-ray Lithography Takao TAGUCHI and Yasuji MATSUI Association of Super-Advanced Electronics Technologies (ASET) This article reviews

More information

フォトポリマー懇話会

フォトポリマー懇話会 半導体向け EUV リソグラフィの現状と展望 株式会社東芝セミコンダクター & ストレージ社半導体研究開発センターリソグラフィプロセス技術開発部内山貴之 2015 Toshiba Corporation 内容 1. はじめに デバイスのスケーリングとリソグラフィ技術 次世代リソグラフィ技術の現状と課題 2. EUV リソグラフィの概要 EUV リソグラフィの課題 高 NA-EUV リソグラフィ 3.

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

「○○技術開発」

「○○技術開発」 次世代半導体微細加工 評価基盤技術の開発 中間評価報告書 表 紙 平成 25 年 12 月 独立行政法人新エネルギー 産業技術総合開発機構 研究評価委員会 平成 25 年 12 月 独立行政法人新エネルギー 産業技術総合開発機構理事長古川一夫殿 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会委員長西村吉雄 NEDO 技術委員 技術委員会等規程第 33 条の規定に基づき 別添のとおり評価結果について報告します

More information

indd

indd カールツァイス社 ZEISS Lenses for Line Scan and Large Image Format General Features 高精度マニュアルフォーカス& アイリス調整 堅牢なフルメタル構造 忠実な色表現 マシンビジョン 43mm ラインスキャンカメラ対応 マシンビジョン 24x36mm エリアスキャンカメラ対応 Index Introduction 4 Interlock

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

DiovNT

DiovNT 軟 X 線干渉法による EUV 投影光学系の波面計測 新部正人兵庫県立大学高度産業科学技術研究所 678 1205 兵庫県赤穂郡上郡町光都 3 1 2 要旨次世代の半導体パタン露光技術の候補である極端紫外線リソグラフィー (EUVL) 法の開発においては, 投影光学系の波面収差を0.1 nm の精度で計測する必要がある この目的を達成するため, 我々は簡単な部品交換で多種類の軟 X 線干渉計測ができる実験干渉計を開発し,

More information

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63>

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63> 技術紹介 6. イオンビームスパッタリング法によるエキシマレーザ光学系用フッ化物薄膜の開発 Development of fluoride coatings by Ion Beam Sputtering Method for Excimer Lasers Toshiya Yoshida Keiji Nishimoto Kazuyuki Etoh Keywords: Ion beam sputtering

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回>

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回> 企画特集 10-9 INNOVATION の最先端 Life & Green Nanotechnology が培う新技術 < 第 4 回 > プリンテッドエレクトロニクス時代実現に向けた材料 プロセス基盤技術の開拓 NEDO プロジェクトプロジェクトリーダー東京 学教授染 隆夫 に聞く 図6 4 3 解像度を変えた TFT アレイによる電子ペーパー 提供 凸版印刷 株 大面積圧力センサの開発

More information

JAIST Reposi Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu

JAIST Reposi   Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu JAIST Reposi https://dspace.j Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: 661-666 Issue Date 2009-10-24 Type Conference Paper Text version

More information

SEM 式 PEM 式 TDI センサ TDI sensor 電子銃 Electron gun 検出器 Detector 電子銃 Electron gun EUV マスク EUV mask 点照射 Point exposure 面照射 Areal exposure EUV マスク EUV mask

SEM 式 PEM 式 TDI センサ TDI sensor 電子銃 Electron gun 検出器 Detector 電子銃 Electron gun EUV マスク EUV mask 点照射 Point exposure 面照射 Areal exposure EUV マスク EUV mask 論文 EUV マスク欠陥検査に用いる新写像投影 (PEM) 式電子光学系の製作 * 畠山雅規 村上武司 狩俣努 * 渡辺賢治 寺尾健二 * Development of Novel Optical System based on Projection Electron Microscopy (PEM) for EUV Mask Inspection by Masahiro HATAKEYAMA, Takeshi

More information

21世紀型パラメータ設計―標準SN比の活用―

21世紀型パラメータ設計―標準SN比の活用― 世紀のパラメータ設計ースイッチ機構のモデル化ー 接点 ゴム 変位 スイッチ動作前 スイッチ動作後 反転ばねでスイッチの クリック感 を実現した構造 世紀型パラメータ設計 標準 SN 比の活用 0 世紀の品質工学においては,SN 比の中に, 信号因子の乱れである 次誤差 (S res ) もノイズの効果の中に加えて評価してきた.のパラメータ設計の例では, 比例関係が理想であるから, 次誤差も誤差の仲間と考えてもよかったが,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

14 2 1 1 2 2 1 2 2 2 2 3 2 3 6 2 4 7 2 5 8 3 3 1 10 3 2 12 4 4 1 14 4 17 4 19 4 3 1 22 4 3 2 28 4 3 3 31 5 34 6 36 37 38 1. Ti:Sapphire 2. (1) (2) 2. 2. (3)(4) (5) 2 2 1 (6) 2. 3. 4 3.. 5 4 3. 6 2 5. 1

More information

Microsoft PowerPoint - 集積デバイス工学2.ppt

Microsoft PowerPoint - 集積デバイス工学2.ppt チップレイアウトパターン ( 全体例 ) 集積デバイス工学 () LSI の製造プロセス VLSI センター藤野毅 MOS トランジスタの基本構造 MOS トランジスタの基本構造 絶縁膜 絶縁膜 p 型シリコン 断面図 n 型シリコン p 型シリコン 断面図 n 型シリコン 破断面 破断面 トランジスタゲート幅 W 平面図 4 トランジスタゲート長 L 平面図 MOS トランジスタ (Tr) の構造

More information

Microsoft PowerPoint - 1.プロセス制御の概要.pptx

Microsoft PowerPoint - 1.プロセス制御の概要.pptx プロセス制御工学 1. プロセス制御の概要 京都大学 加納学 Division of Process Control & Process Systems Engineering Department of Chemical Engineering, Kyoto University manabu@cheme.kyoto-u.ac.jp http://www-pse.cheme.kyoto-u.ac.jp/~kano/

More information

平行平面板による収差発生と補正について

平行平面板による収差発生と補正について ORA セミナー 半導体製造における計測用結像光学系の事例 平行平面板による収差発生と補正について 稲秀樹 千徳孝一キヤノン ( 株 ) 製品技術研究所 ina.hideki@canon.co.jp, sentoku.koichi@canon.co.jp 1. はじめに 光学の結像を利用して 高精度な検出で 実際に製品適用しているものの一つに 半導体製造装置が上げられる その中でも本報告は アライメント検出系と重ね合せ検査装置で使用されている計測用結像光学系の収差補正に関するものである

More information

「EUV」中間評価報告書(案).PDF

「EUV」中間評価報告書(案).PDF EUV 1 2 3 4 7 8 1-1 2-1 2-2 1-1 1 2 EUV 3 4 5 6 7 研究評価委員会委員名簿 委員長曽我直弘滋賀県立大学学長 委員伊東弘一大阪府立大学大学院工学研究科教授 稲葉陽二 日本大学法学部教授 大西優 株式会社カネカ顧問 尾形仁士 三菱電機株式会社上席常務執行役開発本部長 黒川淳一 横浜国立大学大学院工学研究院教授 小柳光正 東北大学大学院工学研究科教授 佐久間一郎

More information

ここまで進化した! 外観検査システムの今 表 2 2 焦点ラインスキャンカメラ製品仕様 項目 仕 様 ラインセンサ 4K ラインセンサ 2 光学系 ビームスプリッター (F2.8) ピクセルサイズ 7μm 7μm, 4096 pixels 波長帯域 400nm ~ 900nm 感度 可視光 : 量子

ここまで進化した! 外観検査システムの今 表 2 2 焦点ラインスキャンカメラ製品仕様 項目 仕 様 ラインセンサ 4K ラインセンサ 2 光学系 ビームスプリッター (F2.8) ピクセルサイズ 7μm 7μm, 4096 pixels 波長帯域 400nm ~ 900nm 感度 可視光 : 量子 2 焦点ラインスキャンカメラ 株式会社ブルービジョン 当社は プリズムによる分光を用いた特殊カメラ 専用レンズの製造販売を行っている 本稿では プルズム分光技術を使用し 可視領域で異なる 2 面に焦点を結ぶようにラインセンサを配置した 2 焦点ラインスキャンカメラ ( 写真 1) および専用レンズについて紹介する 1 開発の経緯と技術的特長 透明物体の表面と裏面の画像を同時に取得する また 凹凸のある製品

More information

部門別情報 CONTENTS 36 情報コミュニケーション部門 36 事業戦略 38 業績の概要 40 成長事業 戦略事業の紹介 42 生活 産業部門 42 事業戦略 44 業績の概要 46 成長事業 戦略事業の紹介 48 エレクトロニクス部門 48 事業戦略 50 業績の概要 52 成長事業 戦略事業の紹介 34 hontoprintrush DNP DNP BPO 201512 BPO5 IC

More information

<4D F736F F D20838C A838B8A54944F8C9F93A28E64976C8F F76322E646F63>

<4D F736F F D20838C A838B8A54944F8C9F93A28E64976C8F F76322E646F63> TMT 可視光分光撮像装置 (WFOS/MOBIE) 用 大口径レンズ及びレンズセルの概念検討一式 仕様書 平成 25 年 4 月 国立天文台 1 総説 国立天文台はアメリカ カナダ 中国 インドと協力して次世代超巨大望遠鏡 Thirty Meter Telescope(TMT) 計画を推進している この望遠鏡はこれまで最大の望遠鏡の主鏡口径である10mを大幅に超える30mとなる 可視光分光撮像装置

More information

Microsoft PowerPoint - 6.PID制御.pptx

Microsoft PowerPoint - 6.PID制御.pptx プロセス制御工学 6.PID 制御 京都大学 加納学 Division of Process Control & Process Systems Engineering Department of Chemical Engineering, Kyoto University manabu@cheme.kyoto-u.ac.jp http://www-pse.cheme.kyoto-u.ac.jp/~kano/

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

[Opening Session]

[Opening Session] PMJ2016 学会報告 1. はじめに PMJ2016 論文委員長大日本印刷株式会社吉岡信行 2016 年 4 月 6 日から 8 日にかけてパシフィコ横浜で PMJ2016 学会が開催された 以下に PMJ2016 学会についての報告を行う 2. 投稿論文数と傾向 PMJ2016 では全体で 63 件の発表が行われた そのうち Oral 発表が 27 件 Poster 発表が 26 件 招待講演が

More information

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc.

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc. < コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 Copyright Gigaphoton Inc. ギガフォトンの事業概要 2 半導体露光 光源ビジネス 液晶アニール 光源ビジネス 本体販売先 部品販売先 ASML, Nikon, Canon Intel, Toshiba, Samsung, TSMC など半導体メーカ

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

Microsystem Integration & Packaging Laboratory

Microsystem Integration & Packaging Laboratory 2015/01/26 MemsONE 技術交流会 解析事例紹介 東京大学実装工学分野研究室奥村拳 Microsystem Integration and Packaging Laboratory 1 事例紹介 1. 解析の背景高出力半導体レーザの高放熱構造 2. 熱伝導解析解析モデルの概要 3. チップサイズの熱抵抗への影響 4. 接合材料の熱抵抗への影響 5. ヒートシンク材料の熱抵抗への影響 Microsystem

More information

研究評価委員会

研究評価委員会 研究評価委員会 次世代半導体微細加工 評価基盤技術の開発 ( 中間評価 ) 分科会 議事録 日時 : 平成 25 年 8 月 27 日 ( 火 )10:00~17:50 場所 : 大手町サンスカイルーム D 室 ( 朝日生命大手町ビル 27 階 ) 出席者 ( 敬称略 順不同 ) < 分科会委員 > 分科会長 宮本岩男東京理科大学基礎工学部電子応用工学科嘱託教授 分科会長代理石原直 東京大学大学院工学系研究科特任教授

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

LEDの光度調整について

LEDの光度調整について 光測定と単位について 目次 1. 概要 2. 色とは 3. 放射量と測光量 4. 放射束 5. 視感度 6. 放射束と光束の関係 7. 光度と立体角 8. 照度 9. 照度と光束の関係 10. 各単位の関係 11. まとめ 1/6 1. 概要 LED の性質を表すには 光の強さ 明るさ等が重要となり これらはその LED をどのようなアプリケーションに使用するかを決定するために必須のものになることが殆どです

More information

ベースライトのスタンダード 色を自然に引き立てる Ra95 スタンダードタイプも光束維持率を向上 HIDタイプは約 6 万時間のロングライフ 1

ベースライトのスタンダード 色を自然に引き立てる Ra95 スタンダードタイプも光束維持率を向上 HIDタイプは約 6 万時間のロングライフ 1 ベースライトのスタンダード 色を自然に引き立てる Ra95 スタンダードタイプも光束維持率を向上 HIDタイプは約 6 万時間のロングライフ 1 色を自然に くっきり表現 光束維持率の向上 高演色 タイプ は Ra95と演色性に優れ 商品をくっきりと際立たせます スペクトル制御技術により 肌の色も美しく 自然な色を再現します HIDは光束維持率を70% から80% にアップ 寿命も大幅に伸び 約 60,000

More information

Pick-up プロダクツ プリズム分光方式ラインセンサカメラ用専用レンズとその応用 株式会社ブルービジョン 当社は プリズムを使用した 3CMOS/3CCD/4CMOS/4CCD ラインセンサカメラ用に最適設計した FA 用レンズを設計 製造する専門メーカである 当社のレンズシリーズはプリズムにて

Pick-up プロダクツ プリズム分光方式ラインセンサカメラ用専用レンズとその応用 株式会社ブルービジョン 当社は プリズムを使用した 3CMOS/3CCD/4CMOS/4CCD ラインセンサカメラ用に最適設計した FA 用レンズを設計 製造する専門メーカである 当社のレンズシリーズはプリズムにて Pick-up プロダクツ プリズム分光方式ラインセンサカメラ用専用レンズとその応用 当社は プリズムを使用した 3CMOS/3CCD/4CMOS/4CCD ラインセンサカメラ用に最適設計した FA 用レンズを設計 製造する専門メーカである 当社のレンズシリーズはプリズムにて発生する軸上色収差 倍率色収差を抑えた光学設計を行い 焦点距離が異なったレンズを使用しても RGB 個々の焦点位置がレンズ間で同じ位置になるよう設計されている

More information

InstrumentWS_GenkiSuzuki

InstrumentWS_GenkiSuzuki 第 6 回可視赤外線観測装置技術 WS @ 三鷹キャンパス 多天体補償光学に向けた Open- Loop 補償光学系での波面補償の性能評価 東北大学理学研究科天文学専攻秋山研究室修士 2 年鈴木元気 1. 多天体補償光学の課題 2. 東北大 1cm 望遠鏡用開ループ制御補償光学系の開発 3. 開ループ制御のためのCalibration 手法 4. 波面補償の実験結果. まとめ 1. 多天体補償光学の課題

More information

産総研 MEMS スキルアップコース 中長期 集中型 先端集積化 MEMS の研究開発を推進している産総研 N-MEMS ファウンドリ ( ウェハ径 200/300mm) において 三次元加工技術 フォトリソグラフィー技術 極小微細加工技術等 MEMS 分野における種々の要素技術を習得する 研究開発

産総研 MEMS スキルアップコース 中長期 集中型 先端集積化 MEMS の研究開発を推進している産総研 N-MEMS ファウンドリ ( ウェハ径 200/300mm) において 三次元加工技術 フォトリソグラフィー技術 極小微細加工技術等 MEMS 分野における種々の要素技術を習得する 研究開発 産総研 Technology CAD (TCAD) 実習初級コース 中級コース 短期型 Technology CAD(TCAD) は 計算機上のシミュレーションにより 所望の機能を持つ半導体素子の構造とその作製条件の最適化を行うことができる技術です 通常 半月から数ヶ月程度かかる半導体プロセスを実行することなく 半導体素子の作製条件を計算機上で導き出すことができます 初級コースは TCAD 初心者を対象として

More information

研究成果報告書(基金分)

研究成果報告書(基金分) 25 6 7 (EUV) 2 34 nm L/S Rate constants for the reaction of the electrons with triphenylsulfonium triflate (TPS-Tf) and pinanediol monosulfonates, which consist of tosylate (PiTs) or 4-trifluoromethylbenzenesulfonate

More information

Microsoft PowerPoint - H24全国大会_発表資料.ppt [互換モード]

Microsoft PowerPoint - H24全国大会_発表資料.ppt [互換モード] 第 47 回地盤工学研究発表会 モアレを利用した変位計測システムの開発 ( 計測原理と画像解析 ) 平成 24 年 7 月 15 日 山形設計 ( 株 ) 技術部長堀内宏信 1. はじめに ひびわれ計測の必要性 高度成長期に建設された社会基盤の多くが老朽化を迎え, また近年多発している地震などの災害により, 何らかの損傷を有する構造物は膨大な数に上ると想定される 老朽化による劣化や外的要因による損傷などが生じた構造物の適切な維持管理による健全性の確保と長寿命化のためには,

More information

バリデーション基準 1. 医薬品 医薬部外品 GMP 省令に規定するバリデーションについては 品質リスクを考慮し 以下の バリデーション基準 に基づいて実施すること 2. バリデーション基準 (1) バリデーションの目的バリデーションは 製造所の構造設備並びに手順 工程その他の製造管理及び品質管理の

バリデーション基準 1. 医薬品 医薬部外品 GMP 省令に規定するバリデーションについては 品質リスクを考慮し 以下の バリデーション基準 に基づいて実施すること 2. バリデーション基準 (1) バリデーションの目的バリデーションは 製造所の構造設備並びに手順 工程その他の製造管理及び品質管理の バリデーション基準 1. 医薬品 医薬部外品 GMP 省令に規定するバリデーションについては 品質リスクを考慮し 以下の バリデーション基準 に基づいて実施すること 2. バリデーション基準 (1) バリデーションの目的バリデーションは 製造所の構造設備並びに手順 工程その他の製造管理及び品質管理の方法 ( 以下この基準において 製造手順等 という ) が期待される結果を与えることを検証し これを文書とすることによって

More information

<4D F736F F D2089FC92E82D D4B CF591AA92E882C CA82C982C282A282C42E727466>

<4D F736F F D2089FC92E82D D4B CF591AA92E882C CA82C982C282A282C42E727466> 11 Application Note 光測定と単位について 1. 概要 LED の性質を表すには 光の強さ 明るさ等が重要となり これらはその LED をどのようなアプリケーションに使用するかを決定するために必須のものになることが殆どです しかし 測定の方法は多種存在し 何をどのような測定器で測定するかにより 測定結果が異なってきます 本書では光測定とその単位について説明していきます 2. 色とは

More information

<4D F736F F F696E74202D C834E D836A834E83588DDE97BF955D89BF8B5A8F F196DA2E >

<4D F736F F F696E74202D C834E D836A834E83588DDE97BF955D89BF8B5A8F F196DA2E > 7-1 光学顕微鏡 8-2 エレクトロニクス材料評価技術 途による分類 透過型顕微鏡 体組織の薄切切 や細胞 細菌など光を透過する物体の観察に いる 落射型顕微鏡 ( 反射型顕微鏡 ) 理 学部 材料機能 学科 属表 や半導体など 光を透過しない物体の観察に いる 岩 素顕 iwaya@meijo-u.ac.jp 電 線を使った結晶の評価法 透過電 顕微鏡 査電 顕微鏡 実体顕微鏡拡 像を 体的に

More information

日本の半導体 R&D コンソーシアムの将来展望 SIRIJ 技術委員長 ( 株 ) 日立製作所半導体グループ技師長 下東勝博 STRJ WS: March 3, 2003

日本の半導体 R&D コンソーシアムの将来展望 SIRIJ 技術委員長 ( 株 ) 日立製作所半導体グループ技師長 下東勝博 STRJ WS: March 3, 2003 日本の半導体 R&D コンソーシアムの将来展望 SIRIJ 技術委員長 ( 株 ) 日立製作所半導体グループ技師長 下東勝博 目次 1. 緒言 2. 半導体産業の転換点 3. 競争と協調 - コンソーシア 4. コンソーシア - 米国と日本 5. 日本の半導体コンソーシアの現状と将来展望 6. 結言 1. 緒言 2. 半導体産業の転換点 50% 45% 40% 35% 30% 25% 20% 15%

More information

表紙_偏光・位相差デバイスのコピー

表紙_偏光・位相差デバイスのコピー 2 Table of Contents 2 Note: Polarizers are available from less than 5mm square to 200 mm and greater diameter Polarizers Retarders 3 Polarizers Retarders Fig. 1-2 4 Polarizers Retarders polarizer. Polarized

More information

研究成果報告書

研究成果報告書 10m 2m Ge Si BaF2 ZnSZnSe Sb-Ge-Sn-S IIR-SF1 1 2 Tungsten SilicideWSi WSi () IIR-SF 1 Sb-Ge-Sn-S 0.85~11μm2.710μm 253 C Al Al 220μm He-Cd laser 1 Exposure Photoresist WSi (a) 500 nm Development RIE WSi

More information

特長 01 裏面入射型 S12362/S12363 シリーズは 裏面入射型構造を採用したフォトダイオードアレイです 構造上デリケートなボンディングワイヤを使用せず フォトダイオードアレイの出力端子と基板電極をバンプボンディングによって直接接続しています これによって 基板の配線は基板内部に納められて

特長 01 裏面入射型 S12362/S12363 シリーズは 裏面入射型構造を採用したフォトダイオードアレイです 構造上デリケートなボンディングワイヤを使用せず フォトダイオードアレイの出力端子と基板電極をバンプボンディングによって直接接続しています これによって 基板の配線は基板内部に納められて 16 素子 Si フォトダイオードアレイ S12362/S12363 シリーズ X 線非破壊検査用の裏面入射型フォトダイオードアレイ ( 素子間ピッチ : mm) 裏面入射型構造を採用した X 線非破壊検査用の 16 素子 Si フォトダイオードアレイです 裏面入射型フォトダイオードアレ イは 入射面側にボンディングワイヤと受光部がないため取り扱いが容易で ワイヤへのダメージを気にすることなくシ ンチレータを実装することができます

More information

C12CA/C13CA シリーズ 光学的特性 項目 TM-UV/VIS-CCD TM-VIS/NIR-CCD C12CA C12CAH C13CA C13CAH 単位 感度波長範囲 2 ~ 32 ~ 1 nm 波長分解能 ( 半値幅 )* 3 max. 1 typ. * 4 max. 1* 4 ty

C12CA/C13CA シリーズ 光学的特性 項目 TM-UV/VIS-CCD TM-VIS/NIR-CCD C12CA C12CAH C13CA C13CAH 単位 感度波長範囲 2 ~ 32 ~ 1 nm 波長分解能 ( 半値幅 )* 3 max. 1 typ. * 4 max. 1* 4 ty C12CA/C13CA シリーズ 高感度タイプ ( 裏面入射型 CCD イメージセンサを採用 ) 高分解能タイプ ミニ分光器 TMシリーズは 光学素子とイメージセンサと駆動回路をコンパクトにまとめた分光器 ( ポリクロメータ ) です 測定光を光ファイバ経由で入光し 分光結果をUSB 接続でPCに取り込むことにより 分光スペクトルの収集が可能です 本製品は 裏面入射型 CCDイメージセンサを採用した高感度タイプで

More information

人間の視野と同等の広視野画像を取得・提示する簡易な装置

人間の視野と同等の広視野画像を取得・提示する簡易な装置 人間の視野と同等の広視野画像 を取得 提示する簡易な装置 公立はこだて未来大学 システム情報科学部複雑系知能学科 准教授鈴木昭二 研究背景 2 画像情報は有用である 多様な情報 ( 明るさ, 色, 形, 動きなど ) 見ればわかる しかし, カメラの画角は狭い 見える範囲が限定される 全体像を把握しくい 移動する物体を見失いやすい 広視野画像の取得 ( 従来方法 ) 3 多数のカメラを搭載 多数の画像を合成し高解像度の画像取得

More information

議事次第 ( 公開セッション ) 1. 開会 資料の確認 2. 分科会の設置について 3. 分科会の公開について 4. 評価の実施方法について 5. プロジェクトの概要説明 5.1 事業の位置付け 必要性 研究開発マネジメント : 片岡茂 NEDO IoT 推進部主査 5.2 研究開発成果 成果の実

議事次第 ( 公開セッション ) 1. 開会 資料の確認 2. 分科会の設置について 3. 分科会の公開について 4. 評価の実施方法について 5. プロジェクトの概要説明 5.1 事業の位置付け 必要性 研究開発マネジメント : 片岡茂 NEDO IoT 推進部主査 5.2 研究開発成果 成果の実 研究評価委員会 次世代半導体微細加工 評価基盤技術の開発 ( 事後評価 ) 分科会 議事録 日時 : 平成 28 年 6 月 21 日 ( 火 )9:30~18:00 場所 : 大手町サンスカイルーム A 会議室 ( 朝日生命大手町ビル 27 階 ) 出席者 ( 敬称略 順不同 ) < 分科会委員 > 分科会長 宮本岩男 東京理科大学基礎工学部電子応用工学科嘱託教授 分科会長代理 石原直 東京大学工学系研究科学術戦略室上席研究員

More information

分科会資料

分科会資料 研究評価委員会 次世代半導体材料 プロセス基盤 (MIRAI) プロジェクト ( 事後評価 ) 分科会 議事要旨 日 時 : 平成 年 月 日 ( 木 ) ~ 平成 年 月 日 ( 月 ) ~ 場 所 : 全国町村議員会館 ( 階 第 ~ 会議室 ) 東京都千代田区一番町 番地 出席者 ( 敬称略 順不同 ) < 分科会委員 > 分科会長 白木 靖寛 東京都市大学 総合研究所 教授 東京大学名誉教授

More information

3D 自動外観検査装置 BF-3Di 全部品の高さ計測により 検査効率が飛躍的に向上

3D 自動外観検査装置 BF-3Di 全部品の高さ計測により 検査効率が飛躍的に向上 BF-3Di 全部品の高さ計測により 検査効率が飛躍的に向上 Inspection Ability 3Dで 基板検査を容易に 正確に BF- 3Di Efficiency 従来の 2DAOI に加え 高さという概念を導 部品形状を自動で認識し デバッグ中 ヒストグラムで 入 また 検査結果を数値化し判定するこ 検査データを自動作成 確認する事で適切な閾値を設定 とで いままで検査が難しいとされていた

More information

事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下

事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下 事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下 ASML という ) に属するエーエスエムエル ユーエス インク ( 本社米国 以下 米 ASML

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

航空機複合材部品の紫外線劣化加速評価法の開発,三菱重工技報 Vol.51 No.4(2014)

航空機複合材部品の紫外線劣化加速評価法の開発,三菱重工技報 Vol.51 No.4(2014) 航空宇宙特集技術論文 10 航空機複合材部品の紫外線劣化加速評価法の開発 Development of Accelerated UV Degradation Test Method for Aircraft Composite Parts *1 堀苑英毅 *2 石川直元 Hideki Horizono Naomoto Ishikawa 航空機の運用期間 (20 年から 30 年 ) にわたる長期的な耐候性については,

More information

<4D F736F F D2091AA92E895FB964082C982C282A282C45F >

<4D F736F F D2091AA92E895FB964082C982C282A282C45F > 相対強度 の特性測定方法について 製品の特性は主に光学的な特性面と電気的な特性面で仕様化されております この文書はこれらの特性がどのような方法で数値化されているか すなわち測定方法や単位系などについて解説しております また 弊社は車載用途向けの に関しましてはパッケージの熱抵抗を仕様化しておりますので その測定方法について解説しております 光学的特性 の発光量を表す単位には 2 つの単位があります

More information

Microsoft PowerPoint - jps11s_karube_ver110422

Microsoft PowerPoint - jps11s_karube_ver110422 CALET プロトタイプの ビーム実験結果と シミュレーションの比較 早大理工研, 神奈川大工 A, 横浜国大工 B, 苅部樹彦, 鳥居祥二, 笠原克昌, 小澤俊介, 清水雄輝, 赤池陽水, 相場俊英, 植山良貴, 奥野祥二 A, 田村忠久 A, 片寄祐作 B 目次 研究目的 実験概要 データ解析方法 解析の流れ 検出器の座標較正, シャワートリガーと混入粒子除去条件 陽電子に関する実験結果とシミュレーションとの比較

More information

Microsoft Word - 0-1_Ishiuchi.doc

Microsoft Word - 0-1_Ishiuchi.doc 第 13 章 WG11 メトロロジ ( 計測 ) 13-1 はじめに 2006 年は WG11の活動が YE(Yield Enhancement) Metrology WECC(Wafer Environmental Contamination Control) と3の分野の領域分け鮮明になってきたが リソース的制限の中で metrologyの2006 年の報告として 1ITRS2006のUpdateの説明と

More information

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は 25 回目ということで 記念大会として特別セッションを設け バンケットは例年より長めに設定した 以下

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 CD X Axis [mm] Y Axis [mm] - - Magnification: 150k (0, -30mm) 26 nm 24 nm 1 26 nm 26 nm (30mm, 0) Center (0, -30mm) 48 nm (0, 30mm) 48 nm 48 nm 48 nm 48 nm E-beam resist Resist image Silicon mold Dose

More information

aisatu.pdf

aisatu.pdf 1 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71

More information

AlGaN/GaN HFETにおける 仮想ゲート型電流コラプスのSPICE回路モデル

AlGaN/GaN HFETにおける 仮想ゲート型電流コラプスのSPICE回路モデル AlGaN/GaN HFET 電流コラプスおよびサイドゲート効果に関する研究 徳島大学大学院先端技術科学教育部システム創生工学専攻電気電子創生工学コース大野 敖研究室木尾勇介 1 AlGaN/GaN HFET 研究背景 高絶縁破壊電界 高周波 高出力デバイス 基地局などで実用化 通信機器の発達 スマートフォン タブレットなど LTE LTE エンベロープトラッキング 低消費電力化 電源電圧を信号に応じて変更

More information

報道発表資料 2000 年 2 月 17 日 独立行政法人理化学研究所 北海道大学 新しい結晶成長プロセスによる 低欠陥 高品質の GaN 結晶薄膜基板作製に成功 理化学研究所 ( 小林俊一理事長 ) は 北海道大学との共同研究により 従来よりも低欠陥 高品質の窒化ガリウム (GaN) 結晶薄膜基板

報道発表資料 2000 年 2 月 17 日 独立行政法人理化学研究所 北海道大学 新しい結晶成長プロセスによる 低欠陥 高品質の GaN 結晶薄膜基板作製に成功 理化学研究所 ( 小林俊一理事長 ) は 北海道大学との共同研究により 従来よりも低欠陥 高品質の窒化ガリウム (GaN) 結晶薄膜基板 報道発表資料 2000 年 2 月 17 日 独立行政法人理化学研究所 北海道大学 新しい結晶成長プロセスによる 低欠陥 高品質の GaN 結晶薄膜基板作製に成功 理化学研究所 ( 小林俊一理事長 ) は 北海道大学との共同研究により 従来よりも低欠陥 高品質の窒化ガリウム (GaN) 結晶薄膜基板を製作することに成功しました 新しい手法は 当研究所半導体工学研究室の青柳克信主任研究員と 北大電子科学研究所の田中悟助教授らのグループで開発

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

SIP「革新的構造材料」研究開発計画

SIP「革新的構造材料」研究開発計画 1 2 3 4 PD FRP ミ 先 ク 端 ロ 計 測 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 本 研 究 開 発 では 耐 熱 耐 環 境 コーティング 26 27 28 29 算 機 科 学 的 手 法 データベース マテリアルズ インフォマティックスなどの 情 報 学 などを 融 合 するとともに 30 31 32

More information

<4D F736F F D20838A835C834F CC B94C52D32>

<4D F736F F D20838A835C834F CC B94C52D32> 第 2 編リソグラフィ WG 第 1 章はじめに 1-1 背景 ITRS ならびに STRJ のロードマップには デバイスメーカ主体の観点で今後のデバイスの将来像が描かれている これはその将来のデバイスの実現のために それぞれの技術研究開発を集約し市場にデバイスが供給可能な体制をいつまでに整える必要があるかを明示している 図 2-1-1 デバイス量産立ち上がりカーブ Figure 2-1-1 Production

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

- 1 - 2 ç 21,464 5.1% 7,743 112 11,260 2,349 36.1% 0.5% 52.5% 10.9% 1,039 0.2% 0 1 84 954 0.0% 0.1% 8.1% 91.8% 2,829 0.7% 1,274 1,035 496 24 45.0% 36.6% 17.5% 0.8% 24,886 5.9% 9,661 717 6,350 8,203 38.8%

More information

業務用コンピュータサーバーに関する

業務用コンピュータサーバーに関する ENERGY STAR データセンター用ストレージ初期データ収集方法の草案 2009 年 11 月 概要 ENERGY STAR データセンター用ストレージ基準の策定作業の一環として EPA は関係者に対して 本書に規定される方法を使用した データセンター用ストレージに対する一連の試験と性能モデル化の実施を要請する この第 1 回データセンター用ストレージ消費電力試験の目的は 稼働およびアイドル状態の両方における

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

51 Fig. 2 2.1 2.2 2 X 1 X X Table 1 2.1 X 線 を 用 いた 試 験 の 概 要 X Fig. 3 X 1 1 X Table 2 X X 2.2 γ 線 を 用 いた 試 験 の 概 要 Fig. 4 192 192 Ir 60 60 CO Table 3

51 Fig. 2 2.1 2.2 2 X 1 X X Table 1 2.1 X 線 を 用 いた 試 験 の 概 要 X Fig. 3 X 1 1 X Table 2 X X 2.2 γ 線 を 用 いた 試 験 の 概 要 Fig. 4 192 192 Ir 60 60 CO Table 3 Bull. Soc. Sea Water Sci., Jpn., 68, 50-56(2014) Bulletin of the Society of Sea Water Science, Japan 特 集 非 破 壊 検 査 技 術 の 現 状 と 今 後 ( 解 説 ) プラント 設 備 における 放 射 線 による 検 査 の 現 状 と 今 後 永 田 博 幸 *1 *2, 清 水 重 之

More information

スライド 1

スライド 1 マスク説明書 (CAST-T3 マスク ) 1. マスク概要 2. 各素子の詳細 内容 頁番号 マスク仕様 (1)(2) (03)-(04) ショット レイアウト (1)(2) (05)-(06) パッドブロック (07) コンタクトパッドとその周辺 (08) 測定 PADの構造 (09) SUBPADの構造 (10) スクライブラインの構造 (11) ウェハアライメントマーク (12)-(13)

More information

P-12 P-13 3 4 28 16 00 17 30 P-14 P-15 P-16 4 14 29 17 00 18 30 P-17 P-18 P-19 P-20 P-21 P-22

P-12 P-13 3 4 28 16 00 17 30 P-14 P-15 P-16 4 14 29 17 00 18 30 P-17 P-18 P-19 P-20 P-21 P-22 1 14 28 16 00 17 30 P-1 P-2 P-3 P-4 P-5 2 24 29 17 00 18 30 P-6 P-7 P-8 P-9 P-10 P-11 P-12 P-13 3 4 28 16 00 17 30 P-14 P-15 P-16 4 14 29 17 00 18 30 P-17 P-18 P-19 P-20 P-21 P-22 5 24 28 16 00 17 30 P-23

More information