スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1

2 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus LER Line Edge Roughness LWR Line Width Roughness RET Resolution Enhancement Techniques OAI Off-Axis Illumination PSM Phase Shifting Mask cpsm complementary PSM APSM Alternating PSM EPSM Embedded PSM Att. PSM Attenuated PSM EDA Electronic Design Automation OPC Optical Proximity Corrections RBOPC Rule Base OPC MBOPC Model Base OPC DFM Design for Manufacturing Design for Manufacturability SB Scattering Bar SRAF Sub Resolution Assist Feature MEEF Mask Error Enhancement Factor (=MEF) ARC BARC TARC AMC DE DP/MP SADP ESD NGL PXL EPL EBDW IPL EUVL ML2 NIL UV-NIL SFIL DSA LPP DPP Anti-Reflection Coating Bottom ARC Top ARC Airborne Molecular Contamination Double Exposure Double Patterning / Multiple Patterning Self Aligned DP Electro Static Discharge Next Generation Lithography Proximity X-ray Lithography Electron Projection Lithography Electron Beam Direct Writer Ion Projection Lithography Extreme Ultraviolet Lithography Maskless Lithography NanoImprint Lithography Ultraviolet NIL Step & Flash Imprint Lithography Directed Self Assembly Laser Produced Plasma Discharged Produces Plasma 2

3 WG5( リソグラフィ WG) の活動体制 JEITA 半導体部会 / 関連会社内山貴之 / リーダー ( ルネサスエレクトロニクス ) コンソーシアム 千々松達夫 / サブリーダー ( 富士通セミコンダクター ) 笹子勝 ( パナソニック ) 東川巌 ( 東芝 ) 川平博一 ( ソニー ) 和田恵治 ( ローム ) 田中秀仁 ( シャープ ) 山口敦子 山本治朗 ( 日立製作所 ) 須賀治 (EIDEC) 特別委員 (SEAJ 他 ) 奥村正彦 / 国際委員 (SEAJ: ニコン ) 高橋和弘 (SEAJ: キヤノン ) 中島英男 (SEAJ: 東京エレクトロン ) 山口哲男 (SEAJ: ニューフレアテクノロジー ) 笠間邦彦 (SEAJ: ウシオ電機 ) 大久保靖 (HOYA) 林直也 ( 大日本印刷 ) 森本博明 ( 凸版印刷 ) 大森克実 ( 東京応化工業 ) 栗原啓志郎 ( アライアンスコア ) 計 19 名 3

4 ITRS 2011 リソグラフィの概要 Potential Solutions の変遷 Potential Solutions 見直し Difficult Challenge 見直し Table 見直し 項目 カラーリング見直し等 4

5 Lithography Potential Solutions の変遷 (1) 1μm 1992 SIA 1994 NTRS By M.Kameyama (SEAJ, ex.nikon) 1997 NTRS 1999 ITRS 500nm i-line i-line 200nm 100nm 50nm 20nm ML2 EPL IPL EUV PXL ArF KrF KrF PXL ArF EUV EBHT EPL IPL KrF ArF EUV PXL Inno EBDW EPL IPL KrF PXL F2 ArF EUV Inno EBDW EPL IPL 10nm 5

6 By M.Kameyama (SEAJ, ex.nikon) Lithography Potential Solutions の変遷 (2) 2009 ITRS 2007 ITRS 2005 ITRS 2003 ITRS 2001 ITRS 1μm 500nm KrF KrF 00nm Inno ML2 PEL EPL IPL EUV PXL F2 ArF 00nm ArF ArF ArF ArFi ArFi Imm PEL F2 Imm 50nm Interference DSA Imprint ML2 EUV EUV DP DP/MP Inno DSA Imprint ML2 EUV Inno HI Inno DP Inno Imprint ML2 EUV Inno HI Inno Inno ML2 Imprint EPL EUV Imm 20nm 6 10nm 11@2022

7 Lithography Potential Solutions の変遷 (3) ArF F2 ArF i ArF HI DP MP PXL EUV IPL EPL NIL ML2 DSA X X X X X

8 ITRS 2011 Potential Solutions (MPU/DRAM) Figure LITH3A DRAM and MPU Potential Solutions ITRS 2011 First Year of IC Production DRAM ½ pitch (nm) (contacted) MPU/ASIC Metal 1 1/2 pitch (nm) nm Imm nm DP 22 EUV 193nm MP ML2 (MPU) Imprint (DRAM) 16 EUV 193nm MP ML2 Imprint DSA + litho platform Narrow Options MPU / DRAM time line Narrow Options 11 EUV / EUV + MP EUV (6.Xnm) ML2 Imprint Litho + DSA Innovation This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Narrow Options 8

9 ITRS 2011 Potential Solutions (NAND Flash) Figure LITH3B Flash Potential Solutions First Year of IC Production Flash ½ Pitch (nm) (uncontacted Poly)(f) nm DP ITRS nm DP NAND Flash Time Line nm MP EUV Imprint Narrow Options 11 EUV + MP 193nm MP EUV (6.xnm) Imprint EUV + DSA Innovation Narrow Options This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement 9

10 ITRS Lithography Potential Solutions 比較 hp(nm NAND Flash MPU /DRAM ITRS2010 update NAND Flash MPU/DRAM NAND Flash MPU/DRAM nm-i DP 193 nm-i DP 193 nm-i DP 193 nm-i DP EUV (DRAM) nm-i DP EUV 193 nm-i DP EUV 193 nm-i DP/MP 193 nm-i MP ML2 (MPU) Imprint (DRAM) nm-i MP EUV 193nm-i MP EUV ML2 (MPU) Imprint (DRAM) EUV 193nm-i MP EUV 193nm-i MP Imprint ML2 Imprint ML2 (MPU) 193nm-i + DSA Imprint Imprint (DRAM) 193nm-i + DSA DSA+ litho platfo EUV EUV EUV + MP EUV / EUV + MP 193nm-i MP ML2 193nm-i MP EUV (6.X nm) Imprint Imprint EUV (6.X nm) ML2 EUV + DSA Litho + DSA Imprint Imprint Innovative patterinnovative pattereuv + DSA Innovation ITRS2011 Litho + DSA Innovation 10

11 Lithography Potential Solutions の変遷 (4) ArF F2 ArF i ArF HI DP MP PXL EUV IPL EPL NIL ML2 DSA 6.Xnm X 45 X X X X

12 2011 年版リソグラフィの主な変更点 光によるシングル露光は 40nm まで 2011 年以降の 32nm/22nm 向けのリソグラフィはダブル マルチパターニングが主流となった マルチパターニングテーブルを拡充し スペーサーダブルパターニングを明確にした MEEF や k1 ファクタといったリソグラフィパラメータの見直しを行った EUV マスク吸収膜厚等の新仕様を追加した 12

13 マルチパターニングテーブル見直し 2011 年版 13

14 QPT ITRS

15 ITRS2012 年改訂向けた取り組み ( 案 ) コンタクトパターン向けネガ現像プロセス (NTD) EUV マスクラフネスの要求仕様 高電圧 EB レジスト感度 DSA の扱い ML2 テーブル見直し 15

16 リソグラフィの最新状況 LITH1_Challenges ITRS

17 NGL の課題 EUV 光源開発現状 :30~40W 目標 250W(125WPH) マスクインフラ整備 レジスト NIL (Nano Imprint Lithography: ナノインプリントリソグラフィ ) 重ね合わせ精度現状 : 10nm 目標 <8nm 欠陥密度現状 : ~10/cm 2 目標 <0.01~0.1/cm 2 ML2 (Maskless Lithography: マスクレスリソグラフィ ) スループット 2012 年目標 1WPH 最終目標 >100WPH DSA (Directed Self Assembly: 誘導自己組織化 ) LSI 適用のための本格的な開発が始まった 欠陥の評価が始まった 現状 ~25/cm 2 目標 0.01~0.1/cm 2 ロジックパターンへの適用は? 17

18 EUV リソグラフィの現状 Source:#1 Source:#1 Source:#1 Source:#1 Source:#2 18

19 #1 EUV 光源 : 目標は今年 250W@IF LPP( サイマー ギガフォトン ) 現在の出力 : ~40W レベル 熱問題は? デブリ対策は? コレクタミラーの寿命は? ランニングコストは? CO2 レーザ Sn 液滴 DPP( ウシオ ) 現在の出力 : 30W レベル 熱問題は? フォイルトラップの寿命は? コレクタミラーの寿命は? ランニングコストは? コレクタミラー Sn 回転円盤 中間集光点 (IF: Intermediate Focus) フォイルトラップ コレクタミラー 19

20 高出力光源を用いた検証 高速スキャンによる露光システム性能検証 露光精度 ( 寸法均一性 重ね合わせ精度 ) スループット 光源 照明光学系 投影光学系の安定性 耐久性 マスクの耐久性 (Haze 含む ) レジストアウトガス検証 実データをもとにした本当のランニングコスト 20

21 #2 EUV マスクインフラ整備 マスクブランク検査装置 EUV 波長 (EIDEC/Lasertec) DUV 波長 (KLA-Tencor) マスクパターン検査 DUV 波長 (Nuflare KLA-Tencor 等 ) EB (AMAT KLA-Tencor EIDEC/EBARA 等 ) EUV 波長 (KLA-Tencor 等 ) 空間像計測 EUV AIMS (Carl Zeiss) EMI program [SEMATECH] ブランク検査装置 # 年 パターン欠陥検査装置 # 年 AIMS # 年 21

22 EUV マスクは縦方向に複雑 Takashi Kamo (MIRAI-Selete), Printability of EUVL mask defect detected by actinic blank inspection tool and 199-nm pattern inspection tool, Proc. of SPIE Vol U-1 22

23 EUV マスク マスク平坦度 ( 非テレセン ) 重ね合わせ誤差 静電チャックのコンタミ マスク平坦度 マスク裏面コンタミ マスク裏面キズ マスク厚均一性 マスク描画位置補正で OK? 高速レチクル交換 静電チャックにおける高速レチクル交換 ペリクル無しの無欠陥マスク マスクハンドリング方法 収納方法 Pod 開発 運用 ウェハ FAB 内でのマスク異物検査 マスククリーナー マスク洗浄耐性 23

24 #3 EUV レジスト RLS トレードオフ 解像度 (Resolution): 20nmレベルに改善 ラフネス (LWR): 下層制御 プロセス制御で改善 感度 (Sensitivity) 10mJ/cm 2 にあと一歩 レジストパターン倒れ 膜厚最適化 新リンス技術 24

25 まとめ 2012 年 MPU/DRAM の 32nm 2011 年 NAND Flash の 22nm は 193nm ダブルパターニングを適用 2015 年の MPU/DRAM の 22nm の第 1 候補に EUV リソグラフィ 年の NAND Flash の 16nm の第 1 候補は 193nm マルチパターニング EUV リソグラフィ開発遅延のため DP/MP 導入による光リソグラフィの延命が採用されるも コストやプロセス制御に課題 EUV リソグラフィ開発の最大の課題は光源で 実用化に向けた光源開発は今年 2012 年が最大の山場となる ML2 はスループット NIL は欠陥対策が最大の課題で 実用化に必要なレベルに届いていない DSA でも本格的な欠陥評価が開始され 注目されてきた また 6.X nm 波長の EUV リソグラフィが NGL 候補に入った 25

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Main-Title

Main-Title 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

フォトポリマー懇話会

フォトポリマー懇話会 半導体向け EUV リソグラフィの現状と展望 株式会社東芝セミコンダクター & ストレージ社半導体研究開発センターリソグラフィプロセス技術開発部内山貴之 2015 Toshiba Corporation 内容 1. はじめに デバイスのスケーリングとリソグラフィ技術 次世代リソグラフィ技術の現状と課題 2. EUV リソグラフィの概要 EUV リソグラフィの課題 高 NA-EUV リソグラフィ 3.

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

第7章 WG5 リソグラフィ

第7章 WG5 リソグラフィ 第 6 章 WG5 リソグラフィ 6-1 はじめに 2008 年度 WG5( リソグラフィ WG) では ITRS2008update 版の作成に向けて 技術世代に対応した解決策候補 (Potential Solutions) の技術調査 各種テーブルの見直し クロスカット活動などを行うとともに 2009 版の作成指針を絞り込んだ Lithography itwg は SEMATECH から出ているリーダーの下

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

PowerPoint Presentation

PowerPoint Presentation 1 Litho 計測の現状と課題 ( 計測 WG) & 故障解析 SWG 活動状況報告 2 Litho 計測の現状と課題 ( 計測 WG) メンバー 河村栄一 ( 富士通マイクロエレクトロニクス ) 山崎裕一郎 ( 東芝 ) 上澤史且 (SONY) 清水澄人 ( パナソニック ) 横田和樹 (NECEL) 市川昌和 ( 東京大学 ) 水野文夫 ( 明星大学 ) 小島勇夫 ( 産総研 ) 池野昌彦 (

More information

<4D F736F F D20838A835C834F CC B94C52D32>

<4D F736F F D20838A835C834F CC B94C52D32> 第 2 編リソグラフィ WG 第 1 章はじめに 1-1 背景 ITRS ならびに STRJ のロードマップには デバイスメーカ主体の観点で今後のデバイスの将来像が描かれている これはその将来のデバイスの実現のために それぞれの技術研究開発を集約し市場にデバイスが供給可能な体制をいつまでに整える必要があるかを明示している 図 2-1-1 デバイス量産立ち上がりカーブ Figure 2-1-1 Production

More information

[Opening Session]

[Opening Session] PMJ2016 学会報告 1. はじめに PMJ2016 論文委員長大日本印刷株式会社吉岡信行 2016 年 4 月 6 日から 8 日にかけてパシフィコ横浜で PMJ2016 学会が開催された 以下に PMJ2016 学会についての報告を行う 2. 投稿論文数と傾向 PMJ2016 では全体で 63 件の発表が行われた そのうち Oral 発表が 27 件 Poster 発表が 26 件 招待講演が

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は 25 回目ということで 記念大会として特別セッションを設け バンケットは例年より長めに設定した 以下

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

スライド 1

スライド 1 LER/LWR WG4 /WG5 /WG6(PIDS)/WG11 65nm WG11 1 LER/LWR 2004 UPDATE LER/LWR 2 1. LER/LWR 2. * * 3. 4. * Lithography/PIDS/Interconnect 5. 3 1. LER/LWR Line-Edge Roughness (LER) L y Line-Width Roughness (LWR)

More information

SEM 式 PEM 式 TDI センサ TDI sensor 電子銃 Electron gun 検出器 Detector 電子銃 Electron gun EUV マスク EUV mask 点照射 Point exposure 面照射 Areal exposure EUV マスク EUV mask

SEM 式 PEM 式 TDI センサ TDI sensor 電子銃 Electron gun 検出器 Detector 電子銃 Electron gun EUV マスク EUV mask 点照射 Point exposure 面照射 Areal exposure EUV マスク EUV mask 論文 EUV マスク欠陥検査に用いる新写像投影 (PEM) 式電子光学系の製作 * 畠山雅規 村上武司 狩俣努 * 渡辺賢治 寺尾健二 * Development of Novel Optical System based on Projection Electron Microscopy (PEM) for EUV Mask Inspection by Masahiro HATAKEYAMA, Takeshi

More information

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt ITRS 2013 年版の概要と ITRS の編集方針 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長石内秀美 (( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません 1 主要略語一覧 (Glossary) ERD: Emerging Research

More information

スライド 1

スライド 1 モデリング & シミュレーションの 現状と経済的効果 モデリング / シミュレーション WG (WG10) 佐藤成生青木伸俊 國清辰也 木村光紀 泉直希 麻多進 海本博之 林洋一 藤原秀二 西尾修 中村光利 小方誠司 和田哲典 佐野伸行 三浦道子 大野隆央 谷口研二 小谷教彦 STRJ WS: March 6, 2009, WG10 1 構成メンバー 氏名組織役割主担当領域 佐藤成生 富士通マイクロエレクトロニクス主査

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

JAIST Reposi Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu

JAIST Reposi   Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu JAIST Reposi https://dspace.j Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: 661-666 Issue Date 2009-10-24 Type Conference Paper Text version

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

<4D F736F F D2082B182EA837A CC8DC E9197BF332D322D A955D89BF816988C4816A8A DCF F4390B38DCF CEA8F4390B DCF817A2E6

<4D F736F F D2082B182EA837A CC8DC E9197BF332D322D A955D89BF816988C4816A8A DCF F4390B38DCF CEA8F4390B DCF817A2E6 第 20 回研究評価委員会 資料 3-2-3 極端紫外線 (EUV) 露光システム開発プロジェクト 事後評価報告書 ( 案 ) 概要 目 次 ( 頁 ) 分科会委員名簿 1 プロジェクト概要 2 評価概要 ( 案 ) 6 評点結果 12 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会 極端紫外線(EUV) 露光システム開発プロジェクト ( 事後評価 ) 分科会委員名簿 氏名 所属 (

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

Microsoft PowerPoint - 集積デバイス工学2.ppt

Microsoft PowerPoint - 集積デバイス工学2.ppt チップレイアウトパターン ( 全体例 ) 集積デバイス工学 () LSI の製造プロセス VLSI センター藤野毅 MOS トランジスタの基本構造 MOS トランジスタの基本構造 絶縁膜 絶縁膜 p 型シリコン 断面図 n 型シリコン p 型シリコン 断面図 n 型シリコン 破断面 破断面 トランジスタゲート幅 W 平面図 4 トランジスタゲート長 L 平面図 MOS トランジスタ (Tr) の構造

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

DiovNT

DiovNT トピックス X 線露光技術の現状 田口孝雄, 松井安次 技術研究組合 超先端電子技術開発機構 Advances in Proximity X-ray Lithography Takao TAGUCHI and Yasuji MATSUI Association of Super-Advanced Electronics Technologies (ASET) This article reviews

More information

先端テクノロジにおけるDFM取り組み事例 ~ファブレスとEDAベンダ及び外部ファブとの関係~

先端テクノロジにおけるDFM取り組み事例 ~ファブレスとEDAベンダ及び外部ファブとの関係~ 先端テクノロジにおける DFM 取り組み事例 ~ ファブレスと EDA ベンダ及び外部ファブとの関係 ~ 2014 年 7 月 18 日富士通セミコンダクター ( 株 ) 共通テクノロジ開発センター第三設計技術部花蜜宏晃 Copyright 2014 FUJITSU SEMICONDUCTOR LIMITED 目次 富士通セミコンダクターのご紹介 DFMの位置付け 事例 1: ダブルパターニング層に対するリソグラフィ検証の効率化

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

「○○技術開発」

「○○技術開発」 次世代半導体微細加工 評価基盤技術の開発 中間評価報告書 表 紙 平成 25 年 12 月 独立行政法人新エネルギー 産業技術総合開発機構 研究評価委員会 平成 25 年 12 月 独立行政法人新エネルギー 産業技術総合開発機構理事長古川一夫殿 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会委員長西村吉雄 NEDO 技術委員 技術委員会等規程第 33 条の規定に基づき 別添のとおり評価結果について報告します

More information

先端ナノパターニング材料 プロセス国際ワークショップ参加申込書 氏名所属住所 TEL 懇親会参加します 参加しません ( いずれかを消して下さい )

先端ナノパターニング材料 プロセス国際ワークショップ参加申込書 氏名所属住所 TEL  懇親会参加します 参加しません ( いずれかを消して下さい ) 先端ナノパターニング材料 プロセス 国際ワークショップ 大阪大学産業科学研究所田川精一 早稲田大学理工学術院 総合研究所鷲尾方一 謹啓 半導体デバイスの微細化は進展を続けており 本年は MPU DRAM デバイスでは 32nm NAND フラッシュデバイスでは 22nm 以下のそれぞれ最小ハーフピッチパターンが求められています 2015 年にはそれぞれ 22nm 16nm 2018 年にはそれぞれ

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Microsoft Word - 第14章 WG11 欠陥低減.doc

Microsoft Word - 第14章 WG11 欠陥低減.doc 第 14 章 WG11 歩留まり向上 14-1 はじめに YE(Yield Enhancement) 章は YMDB(Yield Model & Defect Budget) DDC(Defect Detection and Characterization) YL(Yield Learning) 及び WECC(Wafer Environmental Contamination Control)

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

VLSI はすべての IT の要 ほぼすべての IT 機器 システムのの性能は VLSI が決めている Town VLSI は今も進歩を続けている 今後 年は間違いなくシリコンが主役 CMOS は必ずしも最速ではない集積度 信頼度を含めた総合力 将来の高度 IT の要求に応えるにはさらな

VLSI はすべての IT の要 ほぼすべての IT 機器 システムのの性能は VLSI が決めている Town VLSI は今も進歩を続けている 今後 年は間違いなくシリコンが主役 CMOS は必ずしも最速ではない集積度 信頼度を含めた総合力 将来の高度 IT の要求に応えるにはさらな 1 2005 年 5 月 11 日電子 情報技術ロードマップ成果報告会 平本俊郎東京大学生産技術研究所 1. はじめに : 半導体分野を取りまく状況 2. 半導体分野の特徴 3. 本半導体ロードマップの特徴 4. ロードマップの 2 つの柱 4.1. 低消費電力電力 システム LSI 基盤技術 4.2. SoC 開発 / 製造工程のエンジニアリング 5. まとめ VLSI はすべての IT の要 ほぼすべての

More information

橡長沼事件関係資料_041002_.PDF

橡長沼事件関係資料_041002_.PDF 5.......... H393.1 Na 2 ........ 3 4 NO.1 2 5 7 8 12 14 17 21 24 28 30 37 39 40 42 42 43 50 58 1968.7 5 4 5 6 1969.4 13 1969.12.4 725 1973.10 8.6.4 1968.7 1968.6.4 1968.9 1968.11 1969.3.6 1969.3.6 1969.7.7

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

.N...[..7...doc

.N...[..7...doc 1 2 3 STEP1 4 STEP2 STEP3 5 6 7 8 9 1 Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution

More information

untitled

untitled UP 2008/2/16 20080216 UP 1. 28% SFA 2. 3. 2008/02/16 (C)2008 2 1 UP 2008/2/16 20081 () ABC 20078 MR MR 2008/02/16 (C)2008 3 2008/02/16 (C)2008 4 2 UP 2008/2/16 1. 2. 3. 4. 5. 6. 2008 2008/02/16 (C)2008

More information

Taro12-イノベ-ション経営研究会

Taro12-イノベ-ション経営研究会 1 3 4 25. 31 46 54 63 63 71 79 90 BP 101 112 126 135 10 1990 21 1970 80 Made In America 80 90 1987 52 93 98-1 - (1) (2) (3) (4) - 2 - - 3 - ( 1980 1990 1990 10 21 PHP 1998. - 4 - 80 1976~1980 1987 52 1988

More information

INTERNATIONAL

INTERNATIONAL INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 年版 メトロロジ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

Microsoft Word - 0-1_Ishiuchi.doc

Microsoft Word - 0-1_Ishiuchi.doc 第 13 章 WG11 メトロロジ ( 計測 ) 13-1 はじめに 2006 年は WG11の活動が YE(Yield Enhancement) Metrology WECC(Wafer Environmental Contamination Control) と3の分野の領域分け鮮明になってきたが リソース的制限の中で metrologyの2006 年の報告として 1ITRS2006のUpdateの説明と

More information

Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining

Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining I Academic English I Lesson 11 Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining Machining 1 primary forming process secondary

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

<4D F736F F F696E74202D B9E93738D EF2891E590BC90E690B68E9197BF292E707074>

<4D F736F F F696E74202D B9E93738D EF2891E590BC90E690B68E9197BF292E707074> 平成 28 年 4 月 28 日 ( 木 ) シンビオ社会研究会講演会京都大学, 百周年時計台記念館 LSI の開発競争と EUV 光源の研究 大西正視 Out Line of Talk 1) Background of the research What is EUV? Why is EUV? 2) Semi conductor Lithography 3) Microwave Discharge

More information

研究成果報告書(基金分)

研究成果報告書(基金分) 25 6 7 (EUV) 2 34 nm L/S Rate constants for the reaction of the electrons with triphenylsulfonium triflate (TPS-Tf) and pinanediol monosulfonates, which consist of tosylate (PiTs) or 4-trifluoromethylbenzenesulfonate

More information

歩留向上 (Yield Enhancement )活動報告

歩留向上 (Yield Enhancement )活動報告 STRJ WS: March 5, 2010, WG11 YE 1 Non-visual defect & Contamination への挑戦 2009 改定内容説明 2009 年度活動報告 STRJ WG11 YE (Yield Enhancement) 用語集 STRJ WS: March 5, 2010, WG11 YE 2 YMDB (Yield Model & Defect Budget)

More information

歩留向上 (Yield Enhancement )活動報告

歩留向上 (Yield Enhancement )活動報告 1 STRJ-WG11 WG11-YE (Yield( Enhancement) 飽くなき歩留向上をめざして -ウェーハ表面汚染低減に向けた超純水への期待 - 2008update 改定骨子骨子内容説明 2008 年度活動報告 - 純水からのメタル汚染と純水の要求値 - 今後の活動計画 NEC エレクトロニクス株式会社白水好美 用語集 2 YMDB (Yield Model & Defect Budget)

More information

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc.

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc. < コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 Copyright Gigaphoton Inc. ギガフォトンの事業概要 2 半導体露光 光源ビジネス 液晶アニール 光源ビジネス 本体販売先 部品販売先 ASML, Nikon, Canon Intel, Toshiba, Samsung, TSMC など半導体メーカ

More information

untitled

untitled 1 4 4 6 8 10 30 13 14 16 16 17 18 19 19 96 21 23 24 3 27 27 4 27 128 24 4 1 50 by ( 30 30 200 30 30 24 4 TOP 10 2012 8 22 3 1 7 1,000 100 30 26 3 140 21 60 98 88,000 96 3 5 29 300 21 21 11 21

More information

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63>

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63> 技術紹介 6. イオンビームスパッタリング法によるエキシマレーザ光学系用フッ化物薄膜の開発 Development of fluoride coatings by Ion Beam Sputtering Method for Excimer Lasers Toshiya Yoshida Keiji Nishimoto Kazuyuki Etoh Keywords: Ion beam sputtering

More information

標準的事業原簿作成マニュアル

標準的事業原簿作成マニュアル 資料 5-1 マスク設計 描画 検査総合最適化技術開発 事業原簿 公開 平成 22 年 8 月 23 日 担当部 独立行政法人新エネルギー 産業技術総合開発機構電子 材料 ナノテクノロジー部 目次 概要 (M-1) 略語集 (M-3) Ⅰ. 事業の位置付け 必要性について Ⅰ-1 1. 事業の位置付けについて Ⅰ-1 1.1 政府及び経済産業省の研究開発プログラムにおける位置付け Ⅰ-1 1.2

More information

Linda

Linda 1 (Moore s Law)100% 30% () (high-k /low-k ) 30 SoC. 2. 157nm Cu High Low SOI 3. 1) 200mm 2.25 2) 30% 3) ( )100% AMHS Automatic Material Handling System;4) 5) 2 4. Bulk CMOS ( ) Bulk CMOS 1980 $50M 2005

More information

目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10

目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10 目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10. 参加費 6 11. 参加申込要領 7 12. キャンセル規定 8 13. 宿泊施設のご案内 8 14.

More information

870727_ガイドブック2016_vol1.indd

870727_ガイドブック2016_vol1.indd VOL.1 VOL.2 VOL.3 2016 I N D E X C h e c k! 3 C h e c k! 4 5 1 2 3 6 4 C h e c k! 5 7 8 9 10 11 12 Q Q Q A A A Q A C h e c k! 13 14 1,531,513 72,364 3,737,465 1,110,000 3,337,105 1,119,421 C h e c k! 774,000

More information

JJTRC 2005

JJTRC 2005 Work in Progress - Do not publish STRJ WS: March 10, 2006, WG7 A&P 1 Jisso 2006310 STRJ WG-7 () Work in Progress - Do not publish STRJ WS: March 10, 2006, WG7 A&P 2 ( JEITA Chairman: () Chairman: () :

More information

P001-040(表1表4).ai

P001-040(表1表4).ai 3-STEP SYSTEM THE NEW STYLE OF Solution MODEL STEP.1 STEP.3 STEP.2 Ubiquitous Solutions 1 2 3 P5 P6 P7 P8 P9 P10 P11 P13 P14 P15 P16 4 P17 P18 P19 P20 5 P21 P22 P23 6 P24 P29 P30 7 P31 8 P32 9 P33 P34

More information

事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下

事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下 事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下 ASML という ) に属するエーエスエムエル ユーエス インク ( 本社米国 以下 米 ASML

More information

LSI LSI Logic Detection by using Laser Probing Pad

LSI LSI Logic Detection by using Laser Probing Pad LSI LSI Logic Detection by using Laser Probing Pad LPP : Laser Probing Pad() 1 3p 3p 3p 2 4p 2.1 4p 2.2 5p 2.2.1 G6p 2.2.2 7p 2.3 8p 2.4 9p 3 10p 3.1 10p 3.2 11p 4 LPP 13p 4.1 13p 4.2 14p 4.3 15p 4.4 15p

More information

スライド 1

スライド 1 見えない化進行の中での歩留向上 _WG11YE - 微細化による歩留技術のパラダイムシフトー 2007 改定内容説明 Scope, Difficult Challenges, YMDB, DDC, WECC 見えない化進行の中での歩留向上エッジ検査 電気特性 TEG 微小 Particle の特性 WG11YE- 津金賢, 白水好美, 二ツ木高志, 岡本彰, 清田久晴, 櫻井光一, 長塚義則, 中川義和,

More information

研究評価委員会

研究評価委員会 研究評価委員会 次世代半導体微細加工 評価基盤技術の開発 ( 中間評価 ) 分科会 議事録 日時 : 平成 25 年 8 月 27 日 ( 火 )10:00~17:50 場所 : 大手町サンスカイルーム D 室 ( 朝日生命大手町ビル 27 階 ) 出席者 ( 敬称略 順不同 ) < 分科会委員 > 分科会長 宮本岩男東京理科大学基礎工学部電子応用工学科嘱託教授 分科会長代理石原直 東京大学大学院工学系研究科特任教授

More information

「○○技術開発」

「○○技術開発」 マスク設計 描画 検査総合最適化技術開発 事後評価報告書 平成 22 年 11 月 独立行政法人新エネルギー 産業技術総合開発機構 研究評価委員会 平成 22 年 11 月 独立行政法人新エネルギー 産業技術総合開発機構理事長村田成二殿 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会委員長西村吉雄 NEDO 技術委員 技術委員会等規程第 32 条の規定に基づき 別添のとおり評価結果について報告します

More information

研究成果報告書

研究成果報告書 10m 2m Ge Si BaF2 ZnSZnSe Sb-Ge-Sn-S IIR-SF1 1 2 Tungsten SilicideWSi WSi () IIR-SF 1 Sb-Ge-Sn-S 0.85~11μm2.710μm 253 C Al Al 220μm He-Cd laser 1 Exposure Photoresist WSi (a) 500 nm Development RIE WSi

More information

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU PIV IHI Marine United Inc. ( IHIMU ) has already developed several

More information

PALL NEWS vol.126 November 2017

PALL NEWS vol.126 November 2017 PALL NEWS November 2017 Vol.126 PALL NEWS vol.126 November 2017 NEW =2000 9660 41.4 MPa 24 MPa NFPA T2.06.01 R2-2001 CAT C/90/* (1x10 6 0-28 MPa 1x10 6 29 120 C 60 C 450 Pa 340 Pa 1 MPa JIS B 8356-3/ISO

More information

スライド 1

スライド 1 半導体ロードマップの 過去 現在 未来 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長 石内秀美 ( ( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません Work in Progress - Do not publish 1 Work

More information

03_委託テーマ発表資料(その2)(p.89-p.134).pdf

03_委託テーマ発表資料(その2)(p.89-p.134).pdf 89 MEMS 2 / 5-0 0-20 90 3 Beyond-CMOS CNT CNT CNT NEC 4 NEDO (80 NEDO 2008.05 Nature Nanotechnology NEDO (8 22 CNT CNT NEDOPJ CNT NEDO M 3 5 Nature Nanotechnology 3, 289-294 (2008) 6 9 7 8 92 9 (!!! '!!!

More information

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, biological imaging, and other applications because of

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

議事次第 ( 公開セッション ) 1. 開会 資料の確認 2. 分科会の設置について 3. 分科会の公開について 4. 評価の実施方法について 5. プロジェクトの概要説明 5.1 事業の位置付け 必要性 研究開発マネジメント : 片岡茂 NEDO IoT 推進部主査 5.2 研究開発成果 成果の実

議事次第 ( 公開セッション ) 1. 開会 資料の確認 2. 分科会の設置について 3. 分科会の公開について 4. 評価の実施方法について 5. プロジェクトの概要説明 5.1 事業の位置付け 必要性 研究開発マネジメント : 片岡茂 NEDO IoT 推進部主査 5.2 研究開発成果 成果の実 研究評価委員会 次世代半導体微細加工 評価基盤技術の開発 ( 事後評価 ) 分科会 議事録 日時 : 平成 28 年 6 月 21 日 ( 火 )9:30~18:00 場所 : 大手町サンスカイルーム A 会議室 ( 朝日生命大手町ビル 27 階 ) 出席者 ( 敬称略 順不同 ) < 分科会委員 > 分科会長 宮本岩男 東京理科大学基礎工学部電子応用工学科嘱託教授 分科会長代理 石原直 東京大学工学系研究科学術戦略室上席研究員

More information

Research and Development Center 1 2

Research and Development Center 1 2 Research and Development Center 1 2 3 4 6 5 6 10 OWER ON OFF TABLE FAN AUTO U AUTO MANU DOWN MANU 100mm 7 8 200ml 3 9 10 11 12 13 14 6 A B C M D E F M Q Q Q E M FC 15 16 M FC FC M M FC FC FC M M M 17 18

More information

4_Laser.dvi

4_Laser.dvi 1 1905 A.Einstein 1917 A.Einstein 1954 C.H.Townes MASER Microwave Amplification by Stimulated Emission of Radiation 23.9 GHz 1.26 cm 1960 T.H.Maiman LASER Light Amplification by Stimulated Emissin of Radiation

More information

RTLC システムの特長 µm Error (µm) Error plot Position mm (mm) 3400mm RTLC ±6.2µm 高精度 RTLC と RT

RTLC システムの特長 µm Error (µm) Error plot Position mm (mm) 3400mm RTLC ±6.2µm 高精度 RTLC と RT L-9517-9483-04-A RTLC 高精度インクリメンタルリニアスケール レニショーの RTLC リニアエンコーダテープスケールは ±5µm/m の精度を誇り 硬化ステンレススチール製による頑丈さを兼ね備えています テープスケールは 両面テープを裏面に貼り付けた RTLC-S とレニショーの革新的な FASTRACK トラックシステムに組み込んで使用する RTLC という 2 種類のスケールを用意しています

More information

#表紙ドキュメントPDF書き出し用.indd

#表紙ドキュメントPDF書き出し用.indd MAGNETIC ENCODER MH-10 MR-13 MR-16 磁気式エンコダ モタと一体化設計とすること で 超小型ながら3 高分解能 ラインドライバ出力を 実現した磁気式エンコダです MR-13,MR-16 As designed in one unit with a motor, these magnetic encoders are very small, but are equipped

More information

DiovNT

DiovNT EUV 露光技術の開発と放射光の役割 岡崎信次技術研究組合超先端電子技術開発機構 135 8073 東京都江東区青海 2 45 タイム24ビル10F 要旨光リソグラフィの解像限界を突破する技術として,EUV (Extreme Ultra-Violet) 光を用いた露光技術が注目されている 本技術によれば, ナノメータ領域の量産加工技術も見えてくるが, 開発課題も多い EUV 露光の光源として, 放射光を用いることは無いが,

More information

<4D F736F F D A955D89BF95F18D908F C4816A81418F9C82AD ED28EC08E7B8ED28E9197BF E955D89BF8F91CCABB0CFAFC493C696408DC C55F FC92F95F E A A819A2E646F6

<4D F736F F D A955D89BF95F18D908F C4816A81418F9C82AD ED28EC08E7B8ED28E9197BF E955D89BF8F91CCABB0CFAFC493C696408DC C55F FC92F95F E A A819A2E646F6 極端紫外線 (EUV) 露光システム開発プロジェクト 事後評価報告書 平成 21 年 2 月 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会 平成 年 月 独立行政法人新エネルギー 産業技術総合開発機構 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会委員長 NEDO 技術委員 技術委員会等規程第 3 条の規定に基づき 別添のとおり評価結果について報告します 目次 はじめに

More information

参考1 第2回自動車ワーキンググループ議事録(未定稿)

参考1		第2回自動車ワーキンググループ議事録(未定稿) 19 9 25 15 30 17 30 10 1012 2 WG 9 10 1 WG 1 CO2 CO2 CO2 WG 1 CO2 CO2 CO2 1/2 1/2 CO2 2 2 CO2 2 WG CO2 1 2 3 7 3 3 3 WG CO CO 10 1.5 50 50 2 1 1 CO 2 CO 4 CO CO 2 2 p.4 7 7 1 7 1 1 5 p.7 2 1 1 1.5 2 1

More information

スライド 1

スライド 1 H29 年度群馬大学電気電子工学特別講義 Ⅱ 集積電子回路工学 第 340 回アナログ集積回路研究会講演 2017 年版 第 5 回半導体製造プロセス 2017.10.31 東京電機大学非常勤講師群馬大学非常勤講師中谷隆之 1) 半導体製造プロセスの概要製造プロセス概観設計工程 マスク製作 ウェハ製造工程前工程 ( トランジスタ工程 配線工程 ) 後工程 ( ダイシング 実装 試験 ) 2)SEM

More information

資源と素材

資源と素材 (Shigen to Sozai) Vol.116 p. 285 290 (2000) 共存硫酸塩を含む強酸性 MnSO 4 溶液中のオゾン酸化によって生成した沈殿の X 線回折および放電特性 * 西村忠久 1 梅津良昭 2 X-ray Diffraction and Discharge Behavior of Precipitates Produced by Ozone Oxidation in

More information

.\02_28-02

.\02_28-02 2 2 2 2 vision mission statement buy-in 2 pre-competitive precompetitive collaboration competitivepre-competitive pre-competitive collaboration SEMI News 2009, No.2 198090 86 93 70DRAM 77 SIA SIA LSI 78

More information

189 2015 1 80

189 2015 1 80 189 2015 1 A Design and Implementation of the Digital Annotation Basis on an Image Resource for a Touch Operation TSUDA Mitsuhiro 79 189 2015 1 80 81 189 2015 1 82 83 189 2015 1 84 85 189 2015 1 86 87

More information

<4D F736F F D20362E312090B691CC8DD796458ACF8E4082CC82BD82DF82CC92B48D8290B F578CF590FC837E B82CC8DEC90BB82C68D645890FC D95AA8CF B F CC8A4A94AD2E646F63>

<4D F736F F D20362E312090B691CC8DD796458ACF8E4082CC82BD82DF82CC92B48D8290B F578CF590FC837E B82CC8DEC90BB82C68D645890FC D95AA8CF B F CC8A4A94AD2E646F63> 6.7 極端紫外光源の開発 () 研究の背景と目的半導体デバイスの微細化進展に伴って LSI 製造プロセス中のリソグラフィ用光源は 可視光領域から水銀ランプの g 線 ( 波長 :λ=436nm) I 線 (λ=365nm) を経て KrF エキシマレーザ (λ=48nm) ArF レーザ (λ=93nm) と より短い波長へ推移してきた ITRS (International technology

More information