スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1

2 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect: OPE: Optical Proximity Effect OPC: Optical Proximity Correction OPE bias RET: Resolution Enhancement Technology ED-window: Exposure Latitude-Depth of Focus-Window EPE: Edge Placement Error SEM : SEM AIMS: Aerial Image Measurement System NA: Numerical aperture Die to database PWQ: Process Window Qualification potential defect FOV: Field of View EUV: Extreme Ultra Violet 13.5nm EB: Electron Beam DICD: Development Inspection Critical Dimension FICD: Final Inspection Critical Dimension CD IP: Image Placement 2

3 0. Systematic defects require new approaches Source: IBS report Error budget OPC Optical Proximity Correction: 3

4 1. SRAM etc. Cell Layout Std. (Macro) Cell Layout Design rule Preferred Rule Conventional DRC Preferred rule DRC Conventional DRC Preferred rule DRC Cell Characterize Litho. Aware P&R NG Conventional DRC Tape Out RET/OPC/DRC Lithography DRC NG NG Mask Data Processing NG Mask Process OPC DRC Hot spot Solution! OPC/DRC Speed OPC OPC OPE OPC/DRC 4

5 1-1. CD Focus Budget Nominal condition a (nm) b (nm) c (nm) d (nm) Total f (nm) (DOF) ED- (Exposure lat.) OPC&DRC nominal condition ED-window Hot Spot *CD: Critical Dimension *ED: Exposure latitude-depth of focus Dose Budget o (%) C/D p (%) q (%) r (%) Total d (%) 5

6 1-2. Hot spot Inter-layer marginal patterns & overlay Intra-layer marginal patterns Hot Spot 6

7 1-3. OPC&DRC 7

8 OPE SEM Input Data DesignGauge Output Data Design Data HSS file IN OUT Measurement Result Image Data SEM Image Network Remote Control CD-SEM GDS 100% fail fail classification 8

9 EPE Design EPE Design Design EPE 2 EPE OPE, OPC OPE, OPC EPE: Edge Placement Error 9

10 1.5. OPE Lithographic DRC Hot spot OPE SEM CD-SEM OPE SEM 10

11 Active Beam Steering TR Shutters Selectable Phase Contrast for altpsm Reticle Reflected Illuminator 257 Laser Transmitted Illuminator Imaging Objective Zoom for Selectable Pixels Algorithm Description Application HiRes1 and HiRes2 Litho1 Litho2 TeraFlux Tritone diedatabase High Resolution Images Transmitted Reflected High resolution, high sensitivity MEEF based sensitivity steering Flux based defect detection Phase contrast illumination Uses 2-layer database rendering Ultimate pattern defect sensitivity Defect disposition based on printability Contact hole and via layers Phase defect detection for altpsm Simple tritone mask TDI Imaging Sensor or Die to database NA OPC AIMS-like GDS litho.-sim KLA-Tencor 11

12 2-2. CD-SEM HV:1.5kV Gun 10-6 Pa SIP1 VF/CL 10-4 Pa SIP2 OL/Det Pa TMP-2 Chamber <10Pa Mask Air Stage negligible G. W. Schlueter, T. Nakamura, J. Matsumoto, M. Seyama, J. M. Whittey, Reticle CD-SEM for the 65-nm technology node and beyond, Annual BACUS Symposium on Photomask Technology and Management, 2004 TMP-1 DryP. 12

13 2-1. Etching 22% Strip 6% Coating 11% Difficult to repair Type-1 Easy to repair!! Type-2 On Edge On Resist Development 61% Source: 25th Annual BACUS Symposium on Photomask Technology,Volume Type1 Type1 systematic defect OPC 13

14 2-3. SEM 14

15 2-4. AIMS (Aerial image measurement system): OPC Carl Zeiss 15

16 2-5. Die to database NA or SEM CD, OPE, OPC 100% GDS 16

17 3. Die to Die, Cell to Cell random defect Systematic defect Hot spot PWQ SEM Overlay SEM Critical DICD, FICD CD-SEM 17

18 3 UBB-capable TDI sensor DUV UV VIS Broadband DUV Blueband GHI-Lines Deepband Midband I-Line G-line UBB spectrum light source Zoom /mag KLA-Tencor 2800 ULTRA-BROADBAND Technologies High speed, scaleable imaging sensor Filters/ND High NA lens High power, ultra-broadband illumination Continuous, high resolution, high NA optics Wafer Die to Die, Cell to Cell PWQ Process Window Qualification Hot spot UP KLA-Tencor 18

19 PWQ: Process Window Qualification PW ~ 0.15µm in Focus PW < 0.2µm in Focus PW ~ 0.2µm in Focus Nominal condition PWQ Lithographic DRC Hot spot PWQ KLA-Tencor 19

20 Intel Shift X: 0um Y: +δyum X: +δxum Y: +δyum X: +δyum Y: 0um X: +δxum Y: -δyum Unfiltered IBM Filtered Nominal Shift Unfiltered Filtered X: 0um Y: -δyum X: -δxum Y: -δyum X: -δxum Y: 0um X: -δxum Y: +δyum Horizontal Shift Proceedings of SPIE Vol. 5754, p , 2005 Proceedings of SPIE Vol. 5756, p , 2005 Proceedings of SPIE Vol. 5754, p , 2005 Proceedings of SPIE Vol. 5756, p , 2005 EUV PWQ PWQ systematic defect 20

21 3-2. SEM EIAS Layout data Reference geometry Region to-be-inspected Inspection-unit-area [ STEP1 ] [ STEP2 ] Clipping area corresponding to image to-be-inspected Reference geometry Detected edge Reference geometry Detected edge Bias Profile [ STEP3 ] [ STEP4 ] Intensity Landing energy: 40 to 3000V Probe current : 500pA to 10nA (Verification Mode), 10pA to 1nA (High Resolution Review Mode) Pixel size : 2nm to 20nm FOV per step : up to 200 µm square (0.01% field linearity), by pixels Sampling rate : 200 M pixel/sec SEM NanoGeometry Research Inc. 21

22 Systematic Defect Bridge Space Path Corner Corner End Cap Gate CD NanoGeometry Research Inc. 22

23 3-3. σ Lithography SEM 23

24 Litho Simulator ORC vs. Wafer Inspection Defects detected by Wafer Inspection Defects presumed by Lithography simulator A B C Inadequate OPC patterns Inadequate lithography conditions Mask geometry fabrication failure Defects on a mask Inadequate model used in the lithography simulation Litho-DRC wafer inspection SEM GDS or NanoGeometry Research Inc. 24

25 3-4. Die to Die, Cell to Cell Die to Database PWQ Hot spot EB SEM OPC 25

26 Computational Lithography (Lithography DRC) Hot spot Systematic defect Lithography-DRC OPE random defect random UP PWQ Hot spot Litho-DRC SEM Systematic defect 26

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd.

LSM5Pascal Ver 3.2 GFP 4D Image VisArt Carl Zeiss Co.,Ltd. LSM5Pascal Ver 3.2 GFP 4D Image VisArt 2004.03 LSM5PASCAL V3.2 LSM5PASCAL SW3.2Axiovert200M 1 1 2 3 3 4 4 5 SingleTrack 9 Multi Track 10,18 5 / 21 6 3 27 7 35 8 ( OFF) 40 LSM5PASCAL V3.2 LSM5PASCAL 65

More information

PowerPoint Presentation

PowerPoint Presentation 1 Litho 計測の現状と課題 ( 計測 WG) & 故障解析 SWG 活動状況報告 2 Litho 計測の現状と課題 ( 計測 WG) メンバー 河村栄一 ( 富士通マイクロエレクトロニクス ) 山崎裕一郎 ( 東芝 ) 上澤史且 (SONY) 清水澄人 ( パナソニック ) 横田和樹 (NECEL) 市川昌和 ( 東京大学 ) 水野文夫 ( 明星大学 ) 小島勇夫 ( 産総研 ) 池野昌彦 (

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Re

Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Re Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Research Laboratory Osamu HIROSE Maya OZAKI This paper

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, biological imaging, and other applications because of

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch 110 : 565-0871 2-1 567-0871 11-1 660-0811 1-9 - 1 tanigawa@jwri.osaka - u.ac.jp Influence of Laser Beam Profile on Cladding Layer TANIGAWA Daichi, ABE Nobuyuki, TSUKAMOTO Masahiro, HAYASHI Yoshihiko, YAMAZAKI

More information

Microsoft Word - 0-1_Ishiuchi.doc

Microsoft Word - 0-1_Ishiuchi.doc 第 13 章 WG11 メトロロジ ( 計測 ) 13-1 はじめに 2006 年は WG11の活動が YE(Yield Enhancement) Metrology WECC(Wafer Environmental Contamination Control) と3の分野の領域分け鮮明になってきたが リソース的制限の中で metrologyの2006 年の報告として 1ITRS2006のUpdateの説明と

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

無電解めっきとレーザー照射による有機樹脂板上へのCuマイクロパターン形成

無電解めっきとレーザー照射による有機樹脂板上へのCuマイクロパターン形成 Title 無電解めっきとレーザー照射による有機樹脂板上への Cu マイクロパターン形成 Author(s) 菊地, 竜也 ; 和智, 悠太 ; 坂入, 正敏 ; 高橋, 英明 ; 飯野, 潔 ; 片山, 直樹 Citation 表面技術, 59(8): 555-561 Issue Date 2008-08 Doc URL http://hdl.handle.net/2115/36647 Type

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11

1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 4 2 5F

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

ArF, KrF,, CO 2 ) X MFE ITER IFE ns, MJ/ ns, MJ/ ms, MJ/ ELM JT60-SA, ITER, DEMO [µm] W 65kV 2.3A [ ] Simple estimation of the threshold thermal load on divertor surface with ELM For Carbon Divertor Case

More information

2

2 WV-CW970 2 3 4 5 6 7 8 9 10 11 12 DIP SW1 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 DIP SW2 SW1 S TA RT RS485Setting SW2 13 14 q w q e 15 16 17 18 19 20 ** RS485 SETUP ** UNIT NUMBER SUB ADDRESS BAUD RATE DATA BIT

More information

28 Horizontal angle correction using straight line detection in an equirectangular image

28 Horizontal angle correction using straight line detection in an equirectangular image 28 Horizontal angle correction using straight line detection in an equirectangular image 1170283 2017 3 1 2 i Abstract Horizontal angle correction using straight line detection in an equirectangular image

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

スペースプラズマ研究会-赤星.ppt

スペースプラズマ研究会-赤星.ppt 14 1 1 1 1 Pauline Faure 1 1 2 3 (1: 2: JAXA 3: IHI) IHI (C)(No.21560819) ISAS(JAXA) ISO TC20/SC14 / (Spall) 60~90% 2 (Cone) 1% (Jetting) CDV11227 Committee Draft for Comments CDV11227 Witness plate Sabot

More information

橡

橡 CO2 Laser Treatment of Tinea Pedis Masahiro UEDA:,' Kiyotaka KITAMURA** and Yukihiro GOKOH*** Table I Specifications 1. Kind of Laser 2. Wavelength of Lasers. Power of Laser. Radiation Mode. Pulse Duration.

More information

No Slide Title

No Slide Title Mentor Graphics High Speed Board 2002 January 2002 Electronic Design and Solution Fair Agenda AutoActive / DRC Interconnectix / IBIS SPICE AutoActive + Interconnectix + EMI Sigrity SPEED2000 ( 2 AutoActive

More information

1 3DCG [2] 3DCG CG 3DCG [3] 3DCG 3 3 API 2 3DCG 3 (1) Saito [4] (a) 1920x1080 (b) 1280x720 (c) 640x360 (d) 320x G-Buffer Decaudin[5] G-Buffer D

1 3DCG [2] 3DCG CG 3DCG [3] 3DCG 3 3 API 2 3DCG 3 (1) Saito [4] (a) 1920x1080 (b) 1280x720 (c) 640x360 (d) 320x G-Buffer Decaudin[5] G-Buffer D 3DCG 1) ( ) 2) 2) 1) 2) Real-Time Line Drawing Using Image Processing and Deforming Process Together in 3DCG Takeshi Okuya 1) Katsuaki Tanaka 2) Shigekazu Sakai 2) 1) Department of Intermedia Art and Science,

More information

, vol.43, no.2, pp.71 77, Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interfer

, vol.43, no.2, pp.71 77, Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interfer , vol.43, no.2, pp.71 77, 2007. 1 Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interferometry 1 2 3 1 3 1 ( ) 1-1-45 2 ( ) 1 3 2-12-1 sugi@cs.titech.ac.jp

More information

PALL NEWS vol.126 November 2017

PALL NEWS vol.126 November 2017 PALL NEWS November 2017 Vol.126 PALL NEWS vol.126 November 2017 NEW =2000 9660 41.4 MPa 24 MPa NFPA T2.06.01 R2-2001 CAT C/90/* (1x10 6 0-28 MPa 1x10 6 29 120 C 60 C 450 Pa 340 Pa 1 MPa JIS B 8356-3/ISO

More information

BRC-X1000

BRC-X1000 C-455-100-02(1) BRC-X1000/H800 2016 Sony Corporation 2 3 4 5 6 4 D E 5 6 7 A B C F G 7 8 9 0 qa qs SYSTEM SELECT IN IR SELECT VISCA RS - 422 OUT OSD OFF CAMERA SETUP 1 qd 2 3 4 5 6 7 8 LAN 12V qf HDMI

More information

xx/xx Vol. Jxx A No. xx 1 Fig. 1 PAL(Panoramic Annular Lens) PAL(Panoramic Annular Lens) PAL (2) PAL PAL 2 PAL 3 2 PAL 1 PAL 3 PAL PAL 2. 1 PAL

xx/xx Vol. Jxx A No. xx 1 Fig. 1 PAL(Panoramic Annular Lens) PAL(Panoramic Annular Lens) PAL (2) PAL PAL 2 PAL 3 2 PAL 1 PAL 3 PAL PAL 2. 1 PAL PAL On the Precision of 3D Measurement by Stereo PAL Images Hiroyuki HASE,HirofumiKAWAI,FrankEKPAR, Masaaki YONEDA,andJien KATO PAL 3 PAL Panoramic Annular Lens 1985 Greguss PAL 1 PAL PAL 2 3 2 PAL DP

More information

HITACHI HF-2000

HITACHI HF-2000 HITACHI HF-2000 v. 4. 1 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. TV 15. 16. 17. 15 5-1 - 1. 1.1 COLUMN ON 1.2 OBJ. TEMP. MONITOR 20 1.3 POWER HV IP-1 ON ON LOCK (

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

Company_2801.ai

Company_2801.ai Park Systems www.parkafm.co.jp Park Systems Enabling Nanoscale Advances ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ Courtesy NASA/JPL-Caltech Park Systems Enabling Nanoscale Advances 5 98 988 997 Prof. C.F. Quate

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射 1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射線技術科 緒言 3D PET/CT Fusion 1 liquid crystal display:

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

光学

光学 Range Image Sensors Using Active Stereo Methods Kazunori UMEDA and Kenji TERABAYASHI Active stereo methods, which include the traditional light-section method and the talked-about Kinect sensor, are typical

More information

untitled

untitled TEM with CCD Ver. 5.1 18 10 25 6 50 FILAMENT OFF 80 V () FILAMENT 2 25 FILAMENT OFF FILAMENT 1 min 2-5 L TEM(&CCD) FILAMENT ON CCD( ) - 1 - 3 TEM 3 CCD 3 4 5 6 7 (CCD ) 7 CCD 7 10 10 11 CCD &TEM 11-2 -

More information

Accuracy check of grading of XCT Report Accuracy check of grading and calibration of CT value on the micro-focus XCT system Tetsuro Hirono Masahiro Ni

Accuracy check of grading of XCT Report Accuracy check of grading and calibration of CT value on the micro-focus XCT system Tetsuro Hirono Masahiro Ni JAMSTEC Rep. Res. Dev., Volume 8, November 2008, 29 36 X CTm/pixel X CT X CT. -. mol/l KI KI CT CT X CT CT ; - - +- -- hirono@ess.sci.osaka-u.ac.jp Accuracy check of grading of XCT Report Accuracy check

More information

Slide 1

Slide 1 CMOS イメージセンサ向けプローブカードに求められる 信号の高速化と低電源ノイズ要求に対する最近の取り組みについて Minoru Mikami, Electrical Design Engineer Formfactor Inc. SPG Group Agenda 1. Overview 2. CIS(CMOS Image Sensor) Probe Card History 3. MIPI D-PHY

More information

/ Motor Specifications Direct Motor Drive Ball Screws / Precision Ball Screw type MB / MB MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-p

/ Motor Specifications Direct Motor Drive Ball Screws / Precision Ball Screw type MB / MB MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-p / Motor Specifications MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-pahse Stepping Motor is mounted directly onto the shaft end of a C3 grade precision Ball Screw, which is suitable for high

More information

2007/8 Vol. J90 D No. 8 Stauffer [7] 2 2 I 1 I 2 2 (I 1(x),I 2(x)) 2 [13] I 2 = CI 1 (C >0) (I 1,I 2) (I 1,I 2) Field Monitoring Server

2007/8 Vol. J90 D No. 8 Stauffer [7] 2 2 I 1 I 2 2 (I 1(x),I 2(x)) 2 [13] I 2 = CI 1 (C >0) (I 1,I 2) (I 1,I 2) Field Monitoring Server a) Change Detection Using Joint Intensity Histogram Yasuyo KITA a) 2 (0 255) (I 1 (x),i 2 (x)) I 2 = CI 1 (C>0) (I 1,I 2 ) (I 1,I 2 ) 2 1. [1] 2 [2] [3] [5] [6] [8] Intelligent Systems Research Institute,

More information

PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM

PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM 3 Flutes Series Features Thanks to 3 flutes ball nose geometry, all of that reach

More information

36 581/2 2012

36 581/2 2012 4 Development of Optical Ground Station System 4-1 Overview of Optical Ground Station with 1.5 m Diameter KUNIMORI Hiroo, TOYOSHMA Morio, and TAKAYAMA Yoshihisa The OICETS experiment, LEO Satellite-Ground

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 CD X Axis [mm] Y Axis [mm] - - Magnification: 150k (0, -30mm) 26 nm 24 nm 1 26 nm 26 nm (30mm, 0) Center (0, -30mm) 48 nm (0, 30mm) 48 nm 48 nm 48 nm 48 nm E-beam resist Resist image Silicon mold Dose

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad SuperKEKB EMITTANCE GROWTH BY MISALIGNMENTS AND JITTERS IN SUPERKEKB INJECTOR LINAC Y. Seimiya, M. Satoh, T. Suwada, T. Higo, Y. Enomoto, F. Miyahara, K. Furukawa High Energy Accelerator Research Organization

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

L C -6D Z3 L C -0D Z3 3 4 5 6 7 8 9 10 11 1 13 14 15 16 17 OIL CLINIC BAR 18 19 POWER TIMER SENSOR 0 3 1 3 1 POWER TIMER SENSOR 3 4 1 POWER TIMER SENSOR 5 11 00 6 7 1 3 4 5 8 9 30 1 3 31 1 3 1 011 1

More information

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels).

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig. 1 The scheme of glottal area as a function of time Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig, 4 Parametric representation

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

2

2 WV-CW960 2 3 4 5 6 7 8 9 10 11 SW1 S TA RT RS485Setting SW2 DIP SW1 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 DIP SW2 12 13 q w q e 14 15 16 17 18 19 ** RS485 SETUP ** UNIT NUMBER SUB ADDRESS BAUD RATE DATA BIT PARITY

More information

Spacecraft Propulsion Using Solar Energy Spacecraft with Magnetic Field Light from the Sun Solar Wind Thrust Mirror Solar Sail Thrust production by li

Spacecraft Propulsion Using Solar Energy Spacecraft with Magnetic Field Light from the Sun Solar Wind Thrust Mirror Solar Sail Thrust production by li 2004.3.28 物理学会シンポジウム 磁気プラズマセイル の可能性と 深宇宙探査への挑戦 宇宙航空研究開発機構 船木一幸 Spacecraft Propulsion Using Solar Energy Spacecraft with Magnetic Field Light from the Sun Solar Wind Thrust Mirror Solar Sail Thrust production

More information

3 1 2

3 1 2 Agilent 4200 TapeStation Agilent 4200 TapeStation 3 1 2 2 3 ScreenTape 3 Agilent 4200 TapeStation QC Agilent 4200 TapeStation Ready-to-use ScreenTape 8 PCR 96-well plate 1 96 1 qrt-pcr DNA RNA DNA / RNA

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

0.2 Button TextBox: menu tab 2

0.2 Button TextBox: menu tab 2 Specview VO 2012 2012/9/27 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

"Moir6 Patterns on Video Pictures Taken by Solid State Image Sensors" by Okio Yoshida and Akito Iwamoto (Toshiba Research and Development Center, Tosh

Moir6 Patterns on Video Pictures Taken by Solid State Image Sensors by Okio Yoshida and Akito Iwamoto (Toshiba Research and Development Center, Tosh "Moir6 Patterns on Video Pictures Taken by Solid State Image Sensors" by Okio Yoshida and Akito Iwamoto (Toshiba Research and Development Center, Toshiba Corporation, Kawasaki) Reproduced resolution chart

More information

Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1" in UV 0.16" in Vis/NIR (Diffraction limit of 1.5mφ

Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1 in UV 0.16 in Vis/NIR (Diffraction limit of 1.5mφ , (NAOJ) (Kyoto U.) Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1" in UV 0.16" in Vis/NIR (Diffraction limit of 1.5mφ at 1µm ) FOV ~200" x 200" to cover

More information

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\ Specview VO 2012 2012/3/26 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

untitled

untitled 27.2.9 TOF-SIMS SIMS TOF-SIMS SIMS Mass Spectrometer ABCDE + ABC+ DE + Primary Ions: 1 12 ions/cm 2 Molecular Fragmentation Region ABCDE ABCDE 1 15 atoms/cm 2 Molecular Desorption Region Why TOF-SIMS?

More information

Microsoft Word - triplexxx.doc

Microsoft Word - triplexxx.doc 12AX7 3 12AX7 6L6GC 4 EL34 4 SEND RETURN Tight Medium Loose Ultra Crunch (4, 6, 16 ) 2 各部の説明 1. POWER ON 2. STANDBY LED OFF ON 3. POWER STATUS LAMP 4. MASTER VOLUME CHANNEL 5. HAIR 15dB CLEAN 6. BODY /

More information

1 158 14 2 8 00225 2 1.... 3 1.1... 4 1.2... 5 2.... 6 2.1...7 2.2... 8 3.... 9 3.1... 10 3.2... 16 4.... 17 4.1... 18 4.2... 20 4.3... 22 5.... 23 5.1... 24 5.2... 28 5.3... 34 5.4... 37 5.5... 39 6....

More information

untitled

untitled u-japan : HP: http://cis.k.hosei.ac.jp/~jianhua/ (Ubiquitous) (Ubiquitous) : : : : ( 1945 1975 1985 1995 2007 PC PC PC PDA, µ- chip Hitachi s RFID Chip in 2006 By Hitachi, 2003 015 0.15x0.15 015mm Integrated

More information

COE

COE COE COOL05 MD @ @ @ @ n ν x, y 2 2 International Workshop on Beam Cooling and Related Topics ( COOL05) General Topics Overview. S-LSR Report from Lab Report from Lab Electron Cooling Muon Cooling

More information

SEM 式 PEM 式 TDI センサ TDI sensor 電子銃 Electron gun 検出器 Detector 電子銃 Electron gun EUV マスク EUV mask 点照射 Point exposure 面照射 Areal exposure EUV マスク EUV mask

SEM 式 PEM 式 TDI センサ TDI sensor 電子銃 Electron gun 検出器 Detector 電子銃 Electron gun EUV マスク EUV mask 点照射 Point exposure 面照射 Areal exposure EUV マスク EUV mask 論文 EUV マスク欠陥検査に用いる新写像投影 (PEM) 式電子光学系の製作 * 畠山雅規 村上武司 狩俣努 * 渡辺賢治 寺尾健二 * Development of Novel Optical System based on Projection Electron Microscopy (PEM) for EUV Mask Inspection by Masahiro HATAKEYAMA, Takeshi

More information

Main-Title

Main-Title 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

untitled

untitled SPring-8 RFgun JASRI/SPring-8 6..7 Contents.. 3.. 5. 6. 7. 8. . 3 cavity γ E A = er 3 πε γ vb r B = v E c r c A B A ( ) F = e E + v B A A A A B dp e( v B+ E) = = m d dt dt ( γ v) dv e ( ) dt v B E v E

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

SFN

SFN THE STAR FORMATION NEWSLETTER No.291-14 March 2017 2017/04/28 16-20 16. X-Shooter spectroscopy of young stellar objects in Lupus. Atmospheric parameters, membership and activity diagnostics 17. The evolution

More information

200708_LesHouches_02.ppt

200708_LesHouches_02.ppt Numerical Methods for Geodynamo Simulation Akira Kageyama Earth Simulator Center, JAMSTEC, Japan Part 2 Geodynamo Simulations in a Sphere or a Spherical Shell Outline 1. Various numerical methods used

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

Image Browser Ver3.5 Manual. Zeiss Image Browser Ver3.5 p2. p3. p5. p7. p9. p13. p17. p19. p23 Appendix 1. p25 Appendix 2. p27 LSM Image Browser http:

Image Browser Ver3.5 Manual. Zeiss Image Browser Ver3.5 p2. p3. p5. p7. p9. p13. p17. p19. p23 Appendix 1. p25 Appendix 2. p27 LSM Image Browser http: Image Browser Ver3.5 Manual 200505av10 Image Browser Ver3.5 Manual. Zeiss Image Browser Ver3.5 p2. p3. p5. p7. p9. p13. p17. p19. p23 Appendix 1. p25 Appendix 2. p27 LSM Image Browser http://www.zeiss.de/imagebrowser

More information

untitled

untitled CHAP. 1 ---------------------------------------------------------------- 5 1-1. ---------------------------------------------------------------- 5 1-2. ----------------------------------------------------------------

More information

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130-

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130- L i t r o n T o t a l L a s e r C a p a b i l i t y Nano Series Ultra Compact Pulsed Nd:YAG Lasers Product Range Specification Nano Range Specification Stable & Stable Telescopic Resonators Model Nano

More information

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page htt

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page   htt Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software_hardware/specview http://specview.stsci.edu/javahelp/main.html Specview

More information

SEJulyMs更新V7

SEJulyMs更新V7 1 2 ( ) Quantitative Characteristics of Software Process (Is There any Myth, Mystery or Anomaly? No Silver Bullet?) Zenya Koono and Hui Chen A process creates a product. This paper reviews various samples

More information

高周波同軸コネクタ

高周波同軸コネクタ RF circuit ANT Probe Signal in Signal out Probe Signal out Signal in RF circuit ANT Probe Probe Signal in Signal out Signal out Signal in RF ANT. RF ANT. Probe Probe Signal out Signal out Signal in Signal

More information

1 Kinect for Windows M = [X Y Z] T M = [X Y Z ] T f (u,v) w 3.2 [11] [7] u = f X +u Z 0 δ u (X,Y,Z ) (5) v = f Y Z +v 0 δ v (X,Y,Z ) (6) w = Z +

1 Kinect for Windows M = [X Y Z] T M = [X Y Z ] T f (u,v) w 3.2 [11] [7] u = f X +u Z 0 δ u (X,Y,Z ) (5) v = f Y Z +v 0 δ v (X,Y,Z ) (6) w = Z + 3 3D 1,a) 1 1 Kinect (X, Y) 3D 3D 1. 2010 Microsoft Kinect for Windows SDK( (Kinect) SDK ) 3D [1], [2] [3] [4] [5] [10] 30fps [10] 3 Kinect 3 Kinect Kinect for Windows SDK 3 Microsoft 3 Kinect for Windows

More information

15H02248 研究成果報告書

15H02248 研究成果報告書 70Gbps ICT 100Tbps LAN 100Gbps 10Gbps 40Gbps TU Berlin 25Gbps 60Gbps (IBM) (APL 2013, APEX 2014) 10 m (Optics Express 2014) 100Gbps 3 VCSEL 4 7 図 10 変調器集積面発光レーザ 8 NRZ 48Gbps 11 図 12 製作した変調器集積 VCSEL の近視野像

More information

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s 1 1 1, Extraction of Transmitted Light using Parallel High-frequency Illumination Kenichiro Tanaka 1 Yasuhiro Mukaigawa 1 Yasushi Yagi 1 Abstract: We propose a new sharpening method of transmitted scene

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Optical Lenses CCD Camera Laser Sheet Wind Turbine with med Diffuser Pitot Tube PC Fig.1 Experimental facility. Transparent Diffuser Double Pulsed Nd:

Optical Lenses CCD Camera Laser Sheet Wind Turbine with med Diffuser Pitot Tube PC Fig.1 Experimental facility. Transparent Diffuser Double Pulsed Nd: *1 *2 *3 PIV Measurement of Field of the Wind Turbine with a med Diffuser Kazuhiko TOSHIMITSU *4, Koutarou NISHIKAWA and Yuji OHYA *4 Department of Mechanical Engineering, Matsue National Collage of Technology,

More information

Version1.5

Version1.5 Version1.5 Version Date Version1.0 Version1.1 Version1.2 Version1.3 Version1.4 Version1.5 Test J/K/SE0_NAK USB-IF Test Procedure FS Upstream Signal Quality Test Receiver Sensitivity Test DG2040 Packet

More information

75 unit: mm Fig. Structure of model three-phase stacked transformer cores (a) Alternate-lap joint (b) Step-lap joint 3 4)

75 unit: mm Fig. Structure of model three-phase stacked transformer cores (a) Alternate-lap joint (b) Step-lap joint 3 4) 3 * 35 (3), 7 Analysis of Local Magnetic Properties and Acoustic Noise in Three-Phase Stacked Transformer Core Model Masayoshi Ishida Kenichi Sadahiro Seiji Okabe 3.7 T 5 Hz..4 3 Synopsis: Methods of local

More information

Microsoft Word JELS2009再再投稿丸島スタイル適用01_32-43a.doc

Microsoft Word JELS2009再再投稿丸島スタイル適用01_32-43a.doc Research in Experimental Phonetics and Linguistics 2: 32-43 (2010) N4a N4a P2 normal normal normal 1. 1.1 1999 (1996) 1 1.2 (2008) (ibid.) * 2009 8 8 1 (ibid.) 32 (1999) 2 1.3 N4a ERP N4a 3 ( 2005) 4 2.

More information

2

2 L C -24K 9 L C -22K 9 2 3 4 5 6 7 8 9 10 11 12 11 03 AM 04 05 0 PM 1 06 1 PM 07 00 00 08 2 PM 00 4 PM 011 011 021 041 061 081 051 071 1 2 4 6 8 5 7 00 00 00 00 00 00 00 00 30 00 09 00 15 10 3 PM 45 00

More information