フォトポリマー懇話会

Size: px
Start display at page:

Download "フォトポリマー懇話会"

Transcription

1 半導体向け EUV リソグラフィの現状と展望 株式会社東芝セミコンダクター & ストレージ社半導体研究開発センターリソグラフィプロセス技術開発部内山貴之 2015 Toshiba Corporation

2 内容 1. はじめに デバイスのスケーリングとリソグラフィ技術 次世代リソグラフィ技術の現状と課題 2. EUV リソグラフィの概要 EUV リソグラフィの課題 高 NA-EUV リソグラフィ 3. まとめ 2015 Toshiba Corporation 2

3 1. はじめに デバイスのスケーリングとリソグラフィ技術 2015 Toshiba Corporation 3

4 半導体製造工程 くりかえし 2015 Toshiba Corporation 4

5 半導体製造工程 2015 Toshiba Corporation 5

6 リソグラフィとは ウェハ上に回路パターンを形成するプロセス要求されること パターンの微細化より微細に 寸法精度 より均一に パターン形状 より正確に 重ね合わせ精度より高精度に 欠陥フリー より少なく コスト より安く スピード より速く 2015 Toshiba Corporation 6

7 光リソグラフィの要素技術 設計マスク製造露光 露光光 光源 g 線 i 線 : 水銀ランプ KrF ArF: エキシマレーザ スキャン トラック ( 塗布 現像 ) 投影レンズ 計測 制御 レジスト ( 感光剤 ) ウェハ アライメント スキャン 2015 Toshiba Corporation 7

8 解像度 寸法 リソグラフィ技術の変遷 10μm 1μm 100nm 10nm 等倍密着露光 縮小投影露光 DNQ/ ノボラックレジスト g 線波長 :436nm 水銀ランプ 高 NA 化 & 短波長化 i 線 365nm KrF 248nm 化学増幅型レジスト 超解像手法 / OPC ArF 193nm ArF 液浸 193nm エキシマレーザ 計算機リソグラフィ ダブル / マルチパターニング 次世代技術 EUV( 波長 13.5nm) インプリント DSA EB 直描 ( 年 ) 2015 Toshiba Corporation 8

9 レイリーの式から計算した解像度 レイリーの式 解像度 =k1 l NA 露光波長 NA k1 解像度 436nm(g 線 ) nm 365nm(i 線 ) nm 248nm(KrF) nm 193nm(ArF) nm 193nm(ArF) nm 次世代技術 ( 実用化未了 ) 13.5nm(EUV) nm 13.5nm(EUV) nm 13.5nm(EUV) nm 2015 Toshiba Corporation 9

10 スループット [WPH: Wafers/hour] 露光装置の処理能力 ( スループット ) 光源パワーアップレジスト感度向上 ( 低露光量化 ) スキャンスピードアップ 光源パワー / 露光量 [W/(mJ/cm 2 )] 2015 Toshiba Corporation 10

11 微細化のロードマップ ITRS 2013 より 2020 年以降 Sub-10nmへ 2015 Toshiba Corporation 11

12 マルチパターニングによる微細化対応 Immersion Single exp. Double patterning Quadruple patterning ITRS 2013 より Octuple patterning 解像度 =k1 λ(=193nm) NA(=1.35) 光の延命で微細化に対応している状況 2015 Toshiba Corporation 12

13 ダブルパターニングとは光露光における解像限界以下の微細なパターンを形成する技術 側壁プロセス (SADP) ピッチスプリット (LELE) 芯材 ( マスク ) 光リソで露光可能な 2 枚のマスクに分割 2 回露光で分割パターンを合成 mask A 側壁つけて 分割 配線埋め込み 入力 合成 余分なパターンをトリミング mask B 2015 Toshiba Corporation 13

14 ダブルパターニング SADP 1 st Exposure 2 nd Exposure Resist P P P Trim process Hard mask (HM) Spacer HM Etching P/2 P/2 P/2 P/2 P/2 P/2 SADP は 露光が 2 倍になるだけでなく複雑なプロセス工程が付加されるので プロセスコスト増大が課題 T. Higashiki (Toshiba), Lithography Workshop Toshiba Corporation 14

15 ダブルパターニング ピッチスプリットLELE 1st Exposure Resist P P P HM Etching ΔOL CD1 CD2 レジスト CD1 = CD ΔOL CD2 = CD ΔOL 2nd Exposure Bottom Layer Etching P/2 P/2 P/2 P/2 P/2 重ね合わせ誤差ΔOLは 隣接パターンの寸法CD誤差になる 高エネルギー加速器科学研究奨励会 (10/16/2015, アルカディア市ヶ谷) 2015 Toshiba Corporation 15

16 ダブルパターニングにおけるレイアウト分割 スティッチングなしで色分け可能 S 色分け LELE SADP S S OK! OK! スティッチングありで色分け可能 S 2S スティッチング S S スティッチングは歩留まりに影響する恐れあり No! スティッチングありでも色分け不可 S S スティッチング コンフリクト S S No! LELELE No! 2015 Toshiba Corporation 16

17 ダブル / マルチパターニングにおける課題 コスト 露光複数回によるパターニングコスト増大 プロセスステップ数増によるパターニングプロセスコスト増大 サイクルタイム プロセスステップ数増による TAT 悪化 プロセス制御 ( 重ね合わせ 寸法等 ) 厳しい要求精度 成膜 ドライエッチ含む複雑な制御が要求される 検査 計測 要求精度が厳しくなるに従いより高精度な検査 計測が必要 以上の課題を解決できる次世代技術の実用化に期待 2015 Toshiba Corporation 17

18 次世代リソグラフィ技術の現状と課題 2015 Toshiba Corporation 18

19 国際ロードマップ (ITRS) におけるリソグラフィ技術候補の変遷 ArF F2 ArF i ArF HI DP MP PXL EUV IPL EPL NIL ML2 DSA 6.Xnm X 45 X X X X ~ 14~ (<8) 2015 Toshiba Corporation 19

20 ITRS におけるリソグラフィ候補技術 (MPU Fin/NAND) 30~20nm 193nm DP nm nm nm 20~15nm 193nm QP 15~11nm 193nm QP DSA EUV DP Imprint 11~8nm EUV DP DSA Imprint ML2 EUV Extension < 8nm EUV DP EUV Extension DSA Extension Imprint ML2 Innovation 2015 Toshiba Corporation 20

21 ITRS におけるリソグラフィ候補技術 (DRAM/MPU Metal) 30~20nm 20~15nm 15~11nm 11~8nm < 8nm 193nm DP 193nm QP EUV DSA 193nm QP EUV DP EUV Extension DSA ML2 Imprint EUV DP EUV Extension DSA ML2 Imprint EUV Extension EUV QP DSA Extension Imprint ML2 Innovation Innovation nm nm nm 2015 Toshiba Corporation 21

22 次世代リソグラフィ技術 Immersion Single exp. Double patterning Quadruple patterning ITRS 2013 より Octuple patterning Immersion DP/MP EUVL EUVL extension リソグラフィ技術 DSA(Directed Self assembly) NIL(Nano Imprint Lithography) 2015 Toshiba Corporation 22

23 次世代リソグラフィ技術候補 ArF 液浸マルチパターニング : 従来の露光技術の延長 現在使用の技術 ピッチスプリット SAxP( 側壁プロセス ) の方式があるが ともにプロセスが複雑で制御が難しい 工程数が長い マスクが多い等課題がある EUVL: 波長 13.5nm と非常に短い波長で高解像度 光源パワーが低い 250W(2015 年 ) の目標に対し 80~110W レジスト性能 RLS トレードオフの克服 (RLS: 解像度 ラインエッジラフネス 感度 ) 露光機からマスクへのパーティクル汚染のためペリクルが必須であるが 現状量産で使用できるペリクルの開発未了 無欠陥マスクのためのインフラ開発途上 DSAL: 新しく提案されたボトムアップの技術 欠陥とパターン位置精度が課題 他のリソグラフィと Complementary( 補完的 ) な技術 ML2: マスクが不要 スループットと精度の両立が困難な状況 NIL: 高価な露光装置が不要 欠陥解決が最大の課題 2015 Toshiba Corporation 23

24 2. EUV リソグラフィの概要 EUV リソグラフィの課題 2015 Toshiba Corporation 24

25 EUV リソグラフィ Extreme Ultra-Violet( 極端紫外線 ) 露光波長 : 13.5nm この波長領域で作成可能なミラーの波長から決定された 光源 現状 LPP(Laser Produced Plasma) 方式が採用されている これまでは DPP(Discharged Produced Plasma) 方式もあったが撤退 2006 年頃に FEL 方式の光源の提案が行われたが 大規模すぎることから技術候補にならなかった経緯がある 最近 LPP 光源のパワーアップの進捗が思わしくないこともあり FEL 光源が再び注目され始めた 全反射光学系 波長 13.5nm で透明な光学レンズはないため 光学系は全て反射ミラーで形成 反射ミラーは Mo/Si(6.5~7nm) の多層膜で通常 40 ペア程度 ミラーの面精度は地球の大きさの真球に例えると ±1.5mm の精度が必要 半径 64000km±1.5mm= 原子レベルの加工精度 照明光学系 + 反射マスク + 投影光学系で全 12 枚程度のミラーで構成 ミラーの反射率は約 68% ( 例えば 0.68^ %) 現状の最新装置の NA= Toshiba Corporation 25

26 反射率 多層膜ミラーの反射率分布 Mo/Be 70% Mo/Si 68% 波長 (nm) Toshiba Corporation 26

27 EUV 露光装置概略 円弧露光フィールド 中間集光点 ( 光源パワーの基準点 ) (IF: Intermediate Focus) 照明光学系 スキャン スキャン マスク LPP 光源 1/4 縮小投影光学系現在のNA=0.33 スキャン 円弧露光フィールド スキャン ウェハ 2015 Toshiba Corporation 27

28 EUV リソグラフィ国内開発プロジェクト 年 ~: 当時 NTT に在籍した木下博雄氏 ( 現 : 兵庫県立大学教授 ) らが X 線縮小投影露光の実験検証 1992~95 年 SORTEC: ニコン 日立製作所がマスク 照明系 プロセスの開発 1998~2006 年 ASET: プロセス マスク 計測の基盤技術開発 2001~10 年 MIRAI: マスク計測技術の開発 2002~11 年 EUVA: 光源 露光装置 光学系計測の開発 2003~07 年 Leading PJ: 光源開発 2012 年 ~ EIDEC: マスクインフラ レジストの開発 Father of EUV lithography と呼ばれている 2006 年 ASML フルフィールド α 露光機 ADT 2010 年 ~ ASML プレ量産露光機 ~11 年 Selete: ニコンフルフィールド露光機 (α 機 ) によるプロセス開発 マスク計測技術開発 2013 年 ~ ASML 量産露光機 年?? ASML 高 NA 量産露光機 2015 Toshiba Corporation 28

29 EUVL の課題 2012 / 22hp 2013 / 22hp 2014 / 16hp 2015 / 16hp 1.Long-term reliable source operation with a. 200 W at IF in 2014 b. 500 W-1,000 W in Long-term reliable source operation with a. 125 W at IF in 2014 b. 250 W in Reliable source operation with > 75% availability 125 W at IF in 1H / 2015 (at customer)) 250 W at IF in 1H / 2016 (HVM entry at 1. Reliable source operation with > 85% availability Expectation of 1500 average wafers per day in 2016 customer) 2. Mask yield & defect inspection/review infrastructure 2. Defect free masks through lifecycle & inspection/review infrastructure 2. Resist resolution, sensitivity & LER met simultaneously Progress insufficient to meet 2015 introduction target 2. Resist resolution, sensitivity & LER met simultaneously Increased focus needed to manufacturing performance (defectivity, pattern collapse, ) 3. Resist resolution, sensitivity & LER met simultaneously 3. Keeping mask defect free - Availability of pellicle mtg HVM req t - Minimize defect adders during use 3. Mask yield & defect inspection/review infrastructure Enable high yield defect free mask blank supply chain 3. Mask yield & defect inspection/review infrastructure Sustainability of mask tool supply chain remains critical) EUVL manufacturing integration 4. Resist resolution, sensitivity & LER met simultaneously 4. Keeping mask defect free Availability of pellicle mtg HVM req t : need integrated industry strategy for solution 4. Keeping mask defect free (by EUV pellicle) Pellicle demonstration in the field (on 3300) required in 2016 Minimize defect adders during use Ranked by 14th International EUVL Symposium Program Steering Committee, Maastricht, October 7, Toshiba Corporation 29

30 #1 EUV 光源 : LPP(Laser Produced Plasma) 光源 Sn 液滴 露光装置 プレパスルレーザ 中間集光点 IF (Intermediate Focus) Main Amplifier コレクタミラー High power drive laser (CO 2 laser) Main Amplifier Main Amplifier Pre- Amplifier Oscillator IF パワー 250W 仕様プレパスルレーザ : YAG レーザ 100W 等メインパスルレーザ : CO2 レーザ 25~30kW EUV 発光周波数 : 100kHz CO2 レーザエネルギー EUV 光変換効率 (CE)=4~5% 程度 2015 Toshiba Corporation 30

31 #1 EUV光源: LPP(Laser Produced Plasma)光源 プレパスルレーザ Sn液滴(Max 120kHz) プレパスルレーザ メインパスルレーザ H2 中間集光点 IF Sn液滴 (球) 約20μmΦ プラズマ (Intermediate Focus) メインパルスレーザ 円盤 H2 デブリ コレクタミラー IFパワー 250W仕様 プレパスルレーザ: YAGレーザ 100W 等 メインパスルレーザ: CO2レーザ 25~30kW EUV発光周波数: 100kHz プラズマ プラズマ 高エネルギー加速器科学研究奨励会 (10/16/2015, アルカディア市ヶ谷) 2015 Toshiba Corporation 31

32 Source Power (W) EUV 光源の開発 光源パワーアップ推移 従来目標 250W,125wph 稼働率 55% 70% 80% 90% 計画遅延 W 現状の到達レベル EUV 光源メーカの信用は失墜している Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q Toshiba Corporation 32

33 #1 EUV 光源 : 目標の 250W にまだ遠い LPP(Laser Produced Plasma) 方式 2015 年 250W@IFの目標に対し 現在の安定出力は80W 目標の1/3レベル ( 現状 :55~60% チャンピオンデータ70%) 課題 : 安定化 高出力化 ASML では安定化を優先させて開発中現状実験機で 130W(1 時間程度連続運転 ) ~183W: 露光量制御スペックアウトで 2 分間のため到達したとは言えず プレパルス方式導入と CO2 レーザのパワーアップ等で高出力化予定 高出力化による熱対策 ドロップレット安定化 デブリ対策 コレクタミラー長寿命化 ランニングコスト低減 稼働率改善 ( 目標 95% 以上 ) 250W 以上パワーアップの可能性?? 2015 Toshiba Corporation 33

34 #2 EUV レジスト ; 開発が遅延 RLS トレードオフ :RLS を同時達成が必要 解像度 (R): 16nm LS 14nm LS(NA=0.33)~k1=0.34( 化学増幅レジスト )~ 但しラフネス悪い 13nm LS(NA=0.33)~ ~k1=0.32( 金属錯体レジスト )~ 但し感度悪い ライン幅ラフネス (LWR): 目標 3nm 現状 16nm LSで >5nm 追加プロセス等で短周期ラフネスは改善 長周期ラフネスは低減困難 感度 (S): 目標 20mJ/cm 2 化学増幅では 50mJ/cm 2 レベル その他の要求アウトガスエッチング耐性パターン倒れ安定性 L:LWR R: 解像度 S: 感度 現状 全ての要求を満たすレジストはない 2015 Toshiba Corporation 34

35 Optimum dose (mj/cm 2 ) #2 EUV レジスト RLS トレードオフの克服は遅れるほど微細化が進み より難しく 化学増幅レジスト : ラフネスの改善困難 金属錯体レジスト : 低感度 (>60mJ/cm 2 ) L&S hp (nm) 化学増幅レジストのパターニング例 パターン寸法と最適露光量 ( 現レジスト ) ショットノイズの影響を低減させるため 微細寸法ほど多くの露光量が要求される レジスト材料のブレークスルーが必要 つまり 高出力光源が必要であるということ 2015 Toshiba Corporation 35

36 #3 EUV マスクインフラ整備 ; ほぼ予定通り進捗 マスクブランク検査装置 13.5nm 波長による計測 EUV 波長 (EIDEC/Lasertec) DUV 波長 (KLA-Tencor) マスクパターン検査 DUV 波長 (Nuflare KLA-Tencor 等 ) EB (AMAT KLA-Tencor EIDEC/EBARA 等 ) 13.5nm 波長 (KLA-Tencor 等 ): ペリクル貼り付け後の検査に必要 空間像計測 13.5nm 波長による計測 EUV AIMS (Carl Zeiss) 2015 Toshiba Corporation 36

37 反射型 EUV マスク 静電チャック 導電膜 低熱膨張ガラス (LTEM) バッファ層 (Ru) 光吸収膜 (TaBN) 多層膜 (Si 4nm/Mo 3nm) X40 層 2015 Toshiba Corporation 37

38 マスク シャドウイング効果 照明光は6 度でマスクに入射 光吸収膜は高さがあるため パターンの方向により影が発生 マスクで形成した吸収膜のサイズは影を考慮して形成影 光吸収膜 2015 Toshiba Corporation 38

39 EUV マスク構造と課題 欠陥コンタミ 欠陥 パーティクル ペリクル 吸収膜欠陥 clear opaque 光吸収膜 バッファ層 TaBN 約 50nm Ru 等数 nm 埋没パーティクル 多層膜 Mo/Si 280nm 欠陥 多層膜欠陥 ( 位相欠陥 ) Low Thermal Expansion Material (LTEM) 裏面コーティング 2014 Toshiba Corporation 39

40 #4 EUV マスク欠陥対策 露光機内のパーティクル対策 現状ゼロでないことが報告されている EUV ペリクル : 開発中 ( フルフィールド材料透過率未達 ) ASML を中心に開発中ポリ Si 膜 グラフェン等 要求項目 EUV 光の透過率 : >90% ( 往復で >81%) サイズ :110.7 x144.1 mm2:inner / x150.7mm2:outer EUV 耐光性 :5W/cm2 (=250W 光源の場合 ) 寿命 (EUV+H2 環境 ) >315 時間 最大加速度 :100 m/s2 EUV 透過率均一性ばらつき <0.2% ( 寸法への影響 < 0.1nm 相当 ) ペリクル局所角度 < 300 mrad ペリクルフレーム スタンドオフ距離 2±0.5mm 熱対策必要 2015 Toshiba Corporation 40

41 波長 6.8nm の EUVL について リソグラフィ性能 ( 解像力向上 焦点深度拡大等 ) は改善するが 困難な技術課題も多い 多層膜ミラー (LaN/B 4 C) 反射のバンド幅 FWHM は 0.06nm(13.5nm の 1/10) 反射角度範囲は 13.5nm の 1/3 以下と極端に小さくなってしまう 理論値 (~75%) と比べ 実験値ではかなり低いミラー反射率 (~ 約 45%) しか得られていない 界面粗さや界面拡散層に対してより敏感になる EUV 光源 高融点金属ターゲット (Tb, Gd)? FEL? フレア フレアは波長の二乗に反比例して大きくなる ミラーの MSFR(Mid spatial frequency roughness) と HSFR(High spatial frequency roughness) の更なる改善が必要 2015 Toshiba Corporation 41

42 高 NA-EUV リソグラフィ 2015 Toshiba Corporation 42

43 EUV スキャナ 課題 EUV リソグラフィ技術トレンド EUV レジスト EUV 光源 EUV マスクインフラ ペリクル開発 マスクインフラ微細化対応 ~ NA=0.25 NA=0.33 High NA LPP 光源パワーアップ CoO 低減 40W 80W 250W 16nm 対応 11nm 対応 <11nm 対応 16nm 11nm <11nm RLS( 解像度 LWR 感度 ) トレードオフ改善パターン倒れ防止技術レジスト高 NA 対応 : 無機レジスト等 LPP 500W LPP 第 1 目標 <11nm プロセス開発 SADP/DSA 併用 >1kW 高 NA マスク倍率とフィールドサイズ コンソーシアム ロジック (node/hp) ITRS EIDEC (13nm 11nm/7nm) 高 NA(=0.5) 小フィールド露光機による開発 EUVL 導入の第 1 目標 20/40nm 14/32nm 10/28nm 7/22nm NANDフラッシュメモリ 18nm 17nm 15nm 14nm 13nm 12nm 5/18nm 将来メモリ 8nm 2015 Toshiba Corporation 43

44 EUV における限界解像度 NA レイリーの式 k1 l 解像度 (nm)=k 1 NA l : 露光波長 NA : Numerical Aperture( レンズ開口数 ) k 1 : プロセス定数 [ 物理的に0.25 以上 ] EUV レジスト (LS) レベル ArF レジスト (2D) レベル ArF レジスト (LS) レベル 光学的に Sub-10nm パターニングのポテンシャル 2015 Toshiba Corporation 44

45 高 NA-EUV における光学系 照明光 低 NA 反射光 吸収帯マスク 高 NA ( 0.42~0.43) 対策案 1) CRA を 6 よりも大きくする マスク 3D 効果に起因したデフォーカス時パターンシフトが発生 困難 EUV mask 主光線入射角 (CRA)=6 度 6 度 照明光と反射光が重なる 対策案 2) 縮小投影倍率を 1/4 から 1/5~1/8 へ変更する CRA は 6 レベルを維持 2-1) マスクサイズ拡大 (9 インチ化 )( 懸念 : マスクインフラ開発 マスクステージ大型化 ) 困難 2-2) 露光フィールド 1/2~1/4 化 = 縮小投影倍率 1/5~1/8 スループット低下対策必要 EUV light High NA (resolution) 6 mask Full-field (TPT) ASML 提案スキャン方向 8X 非スキャン方向 4X フィールドサイズ 1/ Toshiba Corporation 45

46 高 NA-EUV におけるレジスト RLS トレードオフ 化学増幅レジストにおけるラフネス低減が困難 ポスト プロセスによりラフネスの高周波成分の改善が可能であるが 低周波成分の対応困難 微細寸法ではショットノイズの影響増大のためさらにラフネスが悪化 より多くの露光量が要求される 高 NA 領域では特に解像度が要求されるため レジスト感度が犠牲になる可能性あり 新プラットフォーム材料 Inpria 等の無機レジストにおいては レジスト感度が低いが 限界解像力のポテンシャルはサブ 10nm と比較的高く 期待される ナノパーティクル レジストは 非常に感度が高いものの 解像力改善が必要 レジスト材料の革新 ブレークスルーが望まれる 2015 Toshiba Corporation 46

47 高 NA-EUV における露光装置 光源 露光装置 高 NA の EUV 露光装置は現状よりさらに高価になることが懸念されるが スループット 高稼働への要求が非常に高くなる ½ ~ ¼ フィールドの可能性があるが 処理能力の低下を最低限にするために高速ステージや高出力光源が要求される 将来の高 NA-EUV 光源には 500W~1kW 以上必要か 光源の高出力化のためのミラー マスク ペリクル等光学系の耐久性 熱対策 高稼働率が要求されるため メンテナンス時間の短縮 部品 モジュールの高寿命化等の対応が必要 EUV 光源向け XFEL(X 線自由電子レーザ ) の検討も開始されている 2015 Toshiba Corporation 47

48 Source Power (W) 500 EUV 光源の開発 光源パワーアップ推移 500W 250wph 年 ~ (XFEL?) 450 最新の Target 従来目標 250W,125wph 稼働率 55% 70% 80% 90% 計画遅延 W 50 現状の到達レベル 0 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q Toshiba Corporation 48

49 EUV 光源向け X 線自由電子レーザの課題 Proof of concept; 13.5 nm 波長かつ 10kW 以上の出力の FEL に向けて 365D/24H フル稼働 ( 稼働率 >95%) ウェハコストへの影響 消費電力 施設のサイズ 開発期間 高コヒーレンス ( スペックル ノイズ ) 高ピークパワー光 ( ダメージ ) 2015 Toshiba Corporation 49

50 次世代高出力 EUV 光源 :X 線自由電子レーザ 超伝導加速空洞 電子銃 ビームダンプ 液体 He 冷却プラント FEL パラメータ最適化 λ=13.5nm ERL (Energy Recovery LINAC) アンジュレータ大きさ : >~100m 10kW 以上の高出力 EUV 光源の可能性 ( 複数台のスキャナ向け ) 13.5nm 波長における高出力光源は前例なし 開発に長期間を要する 2015 Toshiba Corporation 50

51 高パワー EUV-FEL 光源を用いた光学系 高パワー光のビームスプリッター 複数のスキャナまでのつなぎ光学系 ピークパワー低減技術 ( パルス ストレッチ ) 反射光学系におけるコヒーレンス低減技術 1kW レベルの EUV 光への耐性 ( 多層膜ミラー マスク ペリクル等 ) Beam splitter, pulse stretcher & transport system #1 #2 #3 #4 #5 Scanner XFEL size~100 m #6 #7 #8 #9 #10 10 scanners 2015 Toshiba Corporation 51

52 EUV 光源向け X 線自由電子レーザの課題 Proof of concept; 13.5 nm 波長かつ 10kW 以上の出力の FEL に向けて 何らかのエビデンス 試作実験装置等が欲しいところだが 365D/24H フル稼働 ( 稼働率 >95%) リダンダンシ システムが必須となる ウェハコストへの影響 スキャナ 1 台で比較すると LPP 光源よりも安価であることが期待される LPP 光源のコスト削減次第であるため 詳細検討を要す 消費電力 スキャナ 1 台で比較すると ERL 導入で LPP 光源より少ないことが期待される 施設のサイズ 100m レベルの大きな施設になる 開発期間 5 年レベルの長期間になる 高コヒーレンス ( スペックル ノイズ ) 高ピークパワー光 ( 光学部材ダメージ ) 反射光学系における対応が必要 EUV-FEL の実用化のためには 長期的な視点に立った十分な議論 検討が必要である 2015 Toshiba Corporation 52

53 半導体向け EUV リソグラフィ コミュニティの状況 EUV リソグラフィにおいて 露光機メーカは ASML( オランダ ) の 1 社のみの状況 ( かつてはニコン キヤノンも開発 ) 一方でレジスト等の材料メーカは日本が強い 最新の EUV 露光機 NXE:3300 は INTEL や TSMC 等のロジック LSI を中心としたチップメーカやコンソーシアムがオーダーした模様 これまで 7 台がユーザに出荷済み 5 台は出荷のため製作中 ただし 光源パワーが低く 稼働率も低いため 量産への適用は困難な状況 必要な光源パワーは応用分野 ( メモリ ロジック等 ) によって異なる状況 現状の光源である LPP の開発は ASML の子会社サイマー ( 米 ) とギガフォトン ( 日 ) の 2 社で行われている 光源開発はサイマーが先行 これまで開発遅延が続いており 光源メーカの信用は地に落ちている そのため 業界としては光源に関して非常に慎重な姿勢になっている ロジック LSI メーカはペリクルが必須という認識であるが 従来の p-si 系材料では露光による発熱により異物を核に破壊することが判明 現状 100W 以上の光源に対応できるペリクル材料を探索している段階 これまで日本の国プロにおいて EUV 光源開発を行ってきたが 未だ実用化には至っていない そのため 今後新たに国プロを立ち上げることは簡単でないと推測される 光源開発は露光機メーカの協力無しでは進まないが 2012 年に 6.Xnm 波長での EUV 向け FEL 光源の発表をした後は ASML は公の場で FEL についてのコメントをしていない まずは LPP 光源の量産化を優先させていると推測 最近のリソグラフィ国際会議においては チップメーカとしてロジックファンダリ会社の GlobalFounfries が XFEL 光源の発表を行っている 2015 Toshiba Corporation 53

54 EUVL シンポジウム 2015(10/5~7) の状況 光源 ユーザー実績で平均パワー 60W 1 台で 80W 稼働率は平均 55-60% で 1 台 70% ASML 実験機で 130W 1 時間 2015 年 ~2016 年 1H の 250W 達成はかなり難しい状況 レジスト 化学増幅レジストは高感度技術開発が進み 25~30mJ/cm2 レベルに 新プラットフォームは量産適用性を改善中 ペリクル 従来 p-si 系材料では 80W 光源で破壊発生 現在 高パワー光源向け材料探索中 ( グラフェン等 ) マスク ブランク欠陥数は使用可能レベルの 10 個以下レベルに到達 ペリクル付マスク検査装置の開発必要 2015 Toshiba Corporation 54

55 4. まとめ 2015 Toshiba Corporation 55

56 次世代リソグラフィ技術 NAND (2D-cell) Post NAND (3D-cell) Post-post NAND ~ A19nm 15nm BiCS 引き続き微細化 3D 化を推進 Cross Point 3D ReRAM New memory コスト高騰 TAT 悪化 リソグラフィ ArF 液浸の延命研究開発 光源 マスク欠陥 レジスト欠陥 重ね精度 量産 EUVL NIL 低コスト化 次世代 EUVL X 線自由電子レーザ光源 次世代 NIL 欠陥 重ね精度 DSA*(+EUVL/NIL) *DSA: Directed Self Assembly 誘導自己組織化 コスト効率のよい技術を採用 高エネルギー加速器セミナー OHO 15 (9/3/2015, 高エネルギー加速器研究機構 ) 2015 Toshiba Corporation 56

57 まとめ デバイススケーリングに対応するため ArF 液浸リソグラフィのダブル パターニング そしてマルチ パターニングにより対応している これらはプロセス制御が難しく 工程数が多くて工期も長いため 適切な CoO を有する次世代リソグラフィ (NGL) の実用化が切望されている NGL のなかで 波長が短く高解像性が期待できる EUV リソグラフィが最有力候補である 光源パワーアップが最大の課題であるが レジスト開発の課題も顕在化してきた さらに コストについての考慮も重要である 高出力かつ低コストが期待される次世代 EUV 光源として FEL が再度脚光を浴びてきている 今後の実用化のためには技術的な観点のみならず 様々な環境要因を加味した上で 業界を挙げた長期的な開発ストーリー構築 合意が必要となる 2015 Toshiba Corporation 57

58 2015/10/ Toshiba Corporation 58

Main-Title

Main-Title 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

Microsoft PowerPoint - 集積デバイス工学2.ppt

Microsoft PowerPoint - 集積デバイス工学2.ppt チップレイアウトパターン ( 全体例 ) 集積デバイス工学 () LSI の製造プロセス VLSI センター藤野毅 MOS トランジスタの基本構造 MOS トランジスタの基本構造 絶縁膜 絶縁膜 p 型シリコン 断面図 n 型シリコン p 型シリコン 断面図 n 型シリコン 破断面 破断面 トランジスタゲート幅 W 平面図 4 トランジスタゲート長 L 平面図 MOS トランジスタ (Tr) の構造

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

第7章 WG5 リソグラフィ

第7章 WG5 リソグラフィ 第 6 章 WG5 リソグラフィ 6-1 はじめに 2008 年度 WG5( リソグラフィ WG) では ITRS2008update 版の作成に向けて 技術世代に対応した解決策候補 (Potential Solutions) の技術調査 各種テーブルの見直し クロスカット活動などを行うとともに 2009 版の作成指針を絞り込んだ Lithography itwg は SEMATECH から出ているリーダーの下

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc.

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc. < コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 Copyright Gigaphoton Inc. ギガフォトンの事業概要 2 半導体露光 光源ビジネス 液晶アニール 光源ビジネス 本体販売先 部品販売先 ASML, Nikon, Canon Intel, Toshiba, Samsung, TSMC など半導体メーカ

More information

<4D F736F F D2082B182EA837A CC8DC E9197BF332D322D A955D89BF816988C4816A8A DCF F4390B38DCF CEA8F4390B DCF817A2E6

<4D F736F F D2082B182EA837A CC8DC E9197BF332D322D A955D89BF816988C4816A8A DCF F4390B38DCF CEA8F4390B DCF817A2E6 第 20 回研究評価委員会 資料 3-2-3 極端紫外線 (EUV) 露光システム開発プロジェクト 事後評価報告書 ( 案 ) 概要 目 次 ( 頁 ) 分科会委員名簿 1 プロジェクト概要 2 評価概要 ( 案 ) 6 評点結果 12 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会 極端紫外線(EUV) 露光システム開発プロジェクト ( 事後評価 ) 分科会委員名簿 氏名 所属 (

More information

JAIST Reposi Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu

JAIST Reposi   Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu JAIST Reposi https://dspace.j Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: 661-666 Issue Date 2009-10-24 Type Conference Paper Text version

More information

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63>

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63> 技術紹介 6. イオンビームスパッタリング法によるエキシマレーザ光学系用フッ化物薄膜の開発 Development of fluoride coatings by Ion Beam Sputtering Method for Excimer Lasers Toshiya Yoshida Keiji Nishimoto Kazuyuki Etoh Keywords: Ion beam sputtering

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

LG1H 形 高天井用 LED 照明 工場や倉庫の高天井に最適な LED 照明器具 108 形 /173W タイプ ( 水銀灯 400W 相当 ) ( メタルハライドランプ 250W 相当 ) 124 形 /482W タイプ ( 水銀灯 1,000W 相当 ) ( メタルハライドランプ 700W 相

LG1H 形 高天井用 LED 照明 工場や倉庫の高天井に最適な LED 照明器具 108 形 /173W タイプ ( 水銀灯 400W 相当 ) ( メタルハライドランプ 250W 相当 ) 124 形 /482W タイプ ( 水銀灯 1,000W 相当 ) ( メタルハライドランプ 700W 相 LG1H 形 高天井用 LED 照明 工場や倉庫の高天井に最適な LED 照明器具 108 形 /173W タイプ ( 水銀灯 400W 相当 ) ( メタルハライドランプ 250W 相当 ) 124 形 /482W タイプ ( 水銀灯 1,000W 相当 ) ( メタルハライドランプ 700W 相当 ) 116 形 /321W タイプ ( 水銀灯 700W 相当 ) 112 形 /241W タイプ

More information

α α α α α α

α α α α α α α α α α α α 映像情報メディア学会誌 Vol. 71, No. 10 2017 図 1 レーザビーム方式 図 3 PLAS の断面構造 図 3 に PLAS の断面構造を示す PLAS はゲート電極上の チャネル部の部分的な領域のみをフォトマスクとエッチン グなしに結晶化することが可能である 従来のラインビー ム装置はゲート電極上 テーパー上 ガラス上などの表面 の結晶性制御の課題がある

More information

「世界初、高出力半導体レーザーを8分の1の狭スペクトル幅で発振に成功」

「世界初、高出力半導体レーザーを8分の1の狭スペクトル幅で発振に成功」 NEWS RELEASE LD を 8 分の 1 以下の狭いスペクトル幅で発振するレーザー共振器の開発に 世界で初めて成功全固体レーザーの出力を向上する励起用 LD 光源の開発に期待 215 年 4 月 15 日 本社 : 浜松市中区砂山町 325-6 代表取締役社長 : 晝馬明 ( ひるまあきら ) 当社は 高出力半導体レーザー ( 以下 LD ) スタック 2 個を ストライプミラーと単一面型

More information

Microsoft PowerPoint - machida0206

Microsoft PowerPoint - machida0206 広帯域制御のためのフォトメカニカルアクチュエータの開発とその応用 東京大学新領域創成科学研究科物質系専攻三尾研究室 M2 町田幸介 重力波研究交流会 (2009 2/6) 1 発表の流れ 実験の背景 広帯域制御のためのアクチュエータ 実験の目的 実験 電磁アクチュエータの作製 電磁アクチュエータの評価 電磁アクチュエータの応用 ( 位相雑音補償と共振器長制御 ) まとめ 2 広帯域制御のためのアクチュエータ

More information

Microsystem Integration & Packaging Laboratory

Microsystem Integration & Packaging Laboratory 2015/01/26 MemsONE 技術交流会 解析事例紹介 東京大学実装工学分野研究室奥村拳 Microsystem Integration and Packaging Laboratory 1 事例紹介 1. 解析の背景高出力半導体レーザの高放熱構造 2. 熱伝導解析解析モデルの概要 3. チップサイズの熱抵抗への影響 4. 接合材料の熱抵抗への影響 5. ヒートシンク材料の熱抵抗への影響 Microsystem

More information

FFT

FFT ACTRAN for NASTRAN Product Overview Copyright Free Field Technologies ACTRAN Modules ACTRAN for NASTRAN ACTRAN DGM ACTRAN Vibro-Acoustics ACTRAN Aero-Acoustics ACTRAN TM ACTRAN Acoustics ACTRAN VI 2 Copyright

More information

Pick-up プロダクツ プリズム分光方式ラインセンサカメラ用専用レンズとその応用 株式会社ブルービジョン 当社は プリズムを使用した 3CMOS/3CCD/4CMOS/4CCD ラインセンサカメラ用に最適設計した FA 用レンズを設計 製造する専門メーカである 当社のレンズシリーズはプリズムにて

Pick-up プロダクツ プリズム分光方式ラインセンサカメラ用専用レンズとその応用 株式会社ブルービジョン 当社は プリズムを使用した 3CMOS/3CCD/4CMOS/4CCD ラインセンサカメラ用に最適設計した FA 用レンズを設計 製造する専門メーカである 当社のレンズシリーズはプリズムにて Pick-up プロダクツ プリズム分光方式ラインセンサカメラ用専用レンズとその応用 当社は プリズムを使用した 3CMOS/3CCD/4CMOS/4CCD ラインセンサカメラ用に最適設計した FA 用レンズを設計 製造する専門メーカである 当社のレンズシリーズはプリズムにて発生する軸上色収差 倍率色収差を抑えた光学設計を行い 焦点距離が異なったレンズを使用しても RGB 個々の焦点位置がレンズ間で同じ位置になるよう設計されている

More information

53nenkaiTemplate

53nenkaiTemplate デンドリマー構造を持つアクリルオリゴマー 大阪有機化学工業 ( 株 ) 猿渡欣幸 < はじめに > アクリル材料の開発は 1970 年ごろから UV 硬化システムの確立とともに急速に加速した 現在 UV 硬化システムは電子材料において欠かせないものとなっており その用途はコーティング 接着 封止 パターニングなど多岐にわたっている アクリル材料による UV 硬化システムは下記に示す長所と短所がある

More information

1.1 テーラードブランクによる性能と歩留りの改善 最適な位置に最適な部材を配置 図 に示すブランク形状の設計において 製品の各 4 面への要求仕様が異なる場合でも 最大公約数的な考えで 1 つの材料からの加工を想定するのが一般的です その結果 ブランク形状の各 4 面の中には板厚や材質

1.1 テーラードブランクによる性能と歩留りの改善 最適な位置に最適な部材を配置 図 に示すブランク形状の設計において 製品の各 4 面への要求仕様が異なる場合でも 最大公約数的な考えで 1 つの材料からの加工を想定するのが一般的です その結果 ブランク形状の各 4 面の中には板厚や材質 第部 1 レーザ加工を活用した工法転換ノウハウ 第 1 章 コスト削減 1.1 テーラードブランクによる性能と歩留りの改善 最適な位置に最適な部材を配置 図 1-1-1 に示すブランク形状の設計において 製品の各 4 面への要求仕様が異なる場合でも 最大公約数的な考えで 1 つの材料からの加工を想定するのが一般的です その結果 ブランク形状の各 4 面の中には板厚や材質の仕様が不十分になる場合や 反対に十分すぎる場合が生じました

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集 地盤環境モニタリングの広域化とコスト低減のための無線センサネットワークの実用化に関する検討 地球工学研究所地圏科学領域池川洋二郎 Email:ikegawa@criepi.denken.or.jp 1 背景と目的 背景 : 豪雨, 地震などによる斜面災害に対する維持管理や減災技術の適用による効果や機能をモニタリングにより評価することが重要である. 必要性 : モニタリングの広域化と, 低コスト化が可能な技術開発が望まれる.

More information

事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下

事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下 事例 4 エーエスエムエル ホールディング エヌ ビーとサイマー インクの統合 第 1 本件の概要本件は, 半導体製造の前工程 ( 注 1) で使用される露光装置の製造販売業を営むエーエスエムエル ホールディング エヌ ビー ( 本社オランダ ) を最終親会社とする企業結合集団 ( 注 2)( 以下 ASML という ) に属するエーエスエムエル ユーエス インク ( 本社米国 以下 米 ASML

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

ここまで進化した! 外観検査システムの今 表 2 2 焦点ラインスキャンカメラ製品仕様 項目 仕 様 ラインセンサ 4K ラインセンサ 2 光学系 ビームスプリッター (F2.8) ピクセルサイズ 7μm 7μm, 4096 pixels 波長帯域 400nm ~ 900nm 感度 可視光 : 量子

ここまで進化した! 外観検査システムの今 表 2 2 焦点ラインスキャンカメラ製品仕様 項目 仕 様 ラインセンサ 4K ラインセンサ 2 光学系 ビームスプリッター (F2.8) ピクセルサイズ 7μm 7μm, 4096 pixels 波長帯域 400nm ~ 900nm 感度 可視光 : 量子 2 焦点ラインスキャンカメラ 株式会社ブルービジョン 当社は プリズムによる分光を用いた特殊カメラ 専用レンズの製造販売を行っている 本稿では プルズム分光技術を使用し 可視領域で異なる 2 面に焦点を結ぶようにラインセンサを配置した 2 焦点ラインスキャンカメラ ( 写真 1) および専用レンズについて紹介する 1 開発の経緯と技術的特長 透明物体の表面と裏面の画像を同時に取得する また 凹凸のある製品

More information

<4D F736F F F696E74202D B9E93738D EF2891E590BC90E690B68E9197BF292E707074>

<4D F736F F F696E74202D B9E93738D EF2891E590BC90E690B68E9197BF292E707074> 平成 28 年 4 月 28 日 ( 木 ) シンビオ社会研究会講演会京都大学, 百周年時計台記念館 LSI の開発競争と EUV 光源の研究 大西正視 Out Line of Talk 1) Background of the research What is EUV? Why is EUV? 2) Semi conductor Lithography 3) Microwave Discharge

More information

indd

indd カールツァイス社 ZEISS Lenses for Line Scan and Large Image Format General Features 高精度マニュアルフォーカス& アイリス調整 堅牢なフルメタル構造 忠実な色表現 マシンビジョン 43mm ラインスキャンカメラ対応 マシンビジョン 24x36mm エリアスキャンカメラ対応 Index Introduction 4 Interlock

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

<4D F736F F D A955D89BF95F18D908F C4816A81418F9C82AD ED28EC08E7B8ED28E9197BF E955D89BF8F91CCABB0CFAFC493C696408DC C55F FC92F95F E A A819A2E646F6

<4D F736F F D A955D89BF95F18D908F C4816A81418F9C82AD ED28EC08E7B8ED28E9197BF E955D89BF8F91CCABB0CFAFC493C696408DC C55F FC92F95F E A A819A2E646F6 極端紫外線 (EUV) 露光システム開発プロジェクト 事後評価報告書 平成 21 年 2 月 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会 平成 年 月 独立行政法人新エネルギー 産業技術総合開発機構 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会委員長 NEDO 技術委員 技術委員会等規程第 3 条の規定に基づき 別添のとおり評価結果について報告します 目次 はじめに

More information

Microsoft PowerPoint - H24全国大会_発表資料.ppt [互換モード]

Microsoft PowerPoint - H24全国大会_発表資料.ppt [互換モード] 第 47 回地盤工学研究発表会 モアレを利用した変位計測システムの開発 ( 計測原理と画像解析 ) 平成 24 年 7 月 15 日 山形設計 ( 株 ) 技術部長堀内宏信 1. はじめに ひびわれ計測の必要性 高度成長期に建設された社会基盤の多くが老朽化を迎え, また近年多発している地震などの災害により, 何らかの損傷を有する構造物は膨大な数に上ると想定される 老朽化による劣化や外的要因による損傷などが生じた構造物の適切な維持管理による健全性の確保と長寿命化のためには,

More information

[Opening Session]

[Opening Session] PMJ2016 学会報告 1. はじめに PMJ2016 論文委員長大日本印刷株式会社吉岡信行 2016 年 4 月 6 日から 8 日にかけてパシフィコ横浜で PMJ2016 学会が開催された 以下に PMJ2016 学会についての報告を行う 2. 投稿論文数と傾向 PMJ2016 では全体で 63 件の発表が行われた そのうち Oral 発表が 27 件 Poster 発表が 26 件 招待講演が

More information

<4D F736F F D20838A835C834F CC B94C52D32>

<4D F736F F D20838A835C834F CC B94C52D32> 第 2 編リソグラフィ WG 第 1 章はじめに 1-1 背景 ITRS ならびに STRJ のロードマップには デバイスメーカ主体の観点で今後のデバイスの将来像が描かれている これはその将来のデバイスの実現のために それぞれの技術研究開発を集約し市場にデバイスが供給可能な体制をいつまでに整える必要があるかを明示している 図 2-1-1 デバイス量産立ち上がりカーブ Figure 2-1-1 Production

More information

報道発表資料 2000 年 2 月 17 日 独立行政法人理化学研究所 北海道大学 新しい結晶成長プロセスによる 低欠陥 高品質の GaN 結晶薄膜基板作製に成功 理化学研究所 ( 小林俊一理事長 ) は 北海道大学との共同研究により 従来よりも低欠陥 高品質の窒化ガリウム (GaN) 結晶薄膜基板

報道発表資料 2000 年 2 月 17 日 独立行政法人理化学研究所 北海道大学 新しい結晶成長プロセスによる 低欠陥 高品質の GaN 結晶薄膜基板作製に成功 理化学研究所 ( 小林俊一理事長 ) は 北海道大学との共同研究により 従来よりも低欠陥 高品質の窒化ガリウム (GaN) 結晶薄膜基板 報道発表資料 2000 年 2 月 17 日 独立行政法人理化学研究所 北海道大学 新しい結晶成長プロセスによる 低欠陥 高品質の GaN 結晶薄膜基板作製に成功 理化学研究所 ( 小林俊一理事長 ) は 北海道大学との共同研究により 従来よりも低欠陥 高品質の窒化ガリウム (GaN) 結晶薄膜基板を製作することに成功しました 新しい手法は 当研究所半導体工学研究室の青柳克信主任研究員と 北大電子科学研究所の田中悟助教授らのグループで開発

More information

Microsoft PowerPoint - 阪大XFELシンポジウム_Tono.ppt [互換モード]

Microsoft PowerPoint - 阪大XFELシンポジウム_Tono.ppt [互換モード] X 線自由電子レーザーシンポジウム 10 月 19 日大阪大学レーザー研 X 線自由電子レーザーを用いた利用研究 登野健介 理研 /JASRI X 線自由電子レーザー計画合同推進本部 1 科学の基本中の基本 : 光 ( 電磁波 ) による観察 顕微鏡 望遠鏡 細胞の顕微鏡写真 赤外望遠鏡 ( すばる ) で観測した銀河 2 20 世紀の偉大な発明 : 2 種類の人工光源 レーザー LASER: Light

More information

特長 01 裏面入射型 S12362/S12363 シリーズは 裏面入射型構造を採用したフォトダイオードアレイです 構造上デリケートなボンディングワイヤを使用せず フォトダイオードアレイの出力端子と基板電極をバンプボンディングによって直接接続しています これによって 基板の配線は基板内部に納められて

特長 01 裏面入射型 S12362/S12363 シリーズは 裏面入射型構造を採用したフォトダイオードアレイです 構造上デリケートなボンディングワイヤを使用せず フォトダイオードアレイの出力端子と基板電極をバンプボンディングによって直接接続しています これによって 基板の配線は基板内部に納められて 16 素子 Si フォトダイオードアレイ S12362/S12363 シリーズ X 線非破壊検査用の裏面入射型フォトダイオードアレイ ( 素子間ピッチ : mm) 裏面入射型構造を採用した X 線非破壊検査用の 16 素子 Si フォトダイオードアレイです 裏面入射型フォトダイオードアレ イは 入射面側にボンディングワイヤと受光部がないため取り扱いが容易で ワイヤへのダメージを気にすることなくシ ンチレータを実装することができます

More information

7 8 IGBT Insulated Gate Bipolar Transister 2 DC 1, Hz 25 Hz , 現 4 3 CAD 現 5 運転体制 SuperKEKB PF-AR 10 現 3 PF NEWS Vol. 34

7 8 IGBT Insulated Gate Bipolar Transister 2 DC 1, Hz 25 Hz , 現 4 3 CAD 現 5 運転体制 SuperKEKB PF-AR 10 現 3 PF NEWS Vol. 34 現状 入射器の現状 加速器第五研究系研究主幹 古川和朗 概要 SuperKEKB 6 2 2 5 10 3 PF SuperKEKB PF-AR SuperKEKB 50 Hz 20 ms 30 36 1 mh 330 A 340 V 1 パルス電磁石の開発 SuperKEKB B PF PF-AR 2 HER LER 2 SuperKEKB SuperKEKB 5 2 KEKB 50 Hz 20

More information

<834A835E838D834F2E786C7378>

<834A835E838D834F2E786C7378> 無偏光ビームスプリッターキューブ / Non Polarizing Beamsplitter Cubes 無偏光ビームスプリッターは 透過と反射の成分比率を等分にするビームスプリッターです BK7 寸法公差 +0.0/-0.2mm 有効径 >90% スクラッチ - ディグ 40-20 面精度

More information

Microsoft Word - プレリリース参考資料_ver8青柳(最終版)

Microsoft Word - プレリリース参考資料_ver8青柳(最終版) 別紙 : 参考資料 従来の深紫外 LED に比べ 1/5 以下の低コストでの製造を可能に 新縦型深紫外 LED Ref-V DUV LED の開発に成功 立命館大学総合科学技術研究機構の黒瀬範子研究員並びに青柳克信上席研究員は従来 の 1/5 以下のコストで製造を可能にする新しいタイプの縦型深紫外 LED(Ref-V DUV LED) の開発に成功した 1. コスト1/5 以下の深紫外 LED 1)

More information

Micro Fans & Blowers Innovation in Motion マイクロファン & ブロワー 有限会社シーエス技研 PTB 事業部東京オフィス 千葉県市原市辰巳台西

Micro Fans & Blowers Innovation in Motion マイクロファン & ブロワー 有限会社シーエス技研 PTB 事業部東京オフィス 千葉県市原市辰巳台西 www.pelonistechnologies.com Innovation in Motion マイクロファン & ブロワー 有限会社シーエス技研 PTB 事業部東京オフィス 290-0004 千葉県市原市辰巳台西 4-13-1-9-1 104-0041 東京都中央区新富 1-5-5-406 Tel:0436-98-2341 Fax:0436-98-2336 Tel:03-3206-6832 Fax:03-3206-6829

More information

14 2 1 1 2 2 1 2 2 2 2 3 2 3 6 2 4 7 2 5 8 3 3 1 10 3 2 12 4 4 1 14 4 17 4 19 4 3 1 22 4 3 2 28 4 3 3 31 5 34 6 36 37 38 1. Ti:Sapphire 2. (1) (2) 2. 2. (3)(4) (5) 2 2 1 (6) 2. 3. 4 3.. 5 4 3. 6 2 5. 1

More information

「EUV」中間評価報告書(案).PDF

「EUV」中間評価報告書(案).PDF EUV 1 2 3 4 7 8 1-1 2-1 2-2 1-1 1 2 EUV 3 4 5 6 7 研究評価委員会委員名簿 委員長曽我直弘滋賀県立大学学長 委員伊東弘一大阪府立大学大学院工学研究科教授 稲葉陽二 日本大学法学部教授 大西優 株式会社カネカ顧問 尾形仁士 三菱電機株式会社上席常務執行役開発本部長 黒川淳一 横浜国立大学大学院工学研究院教授 小柳光正 東北大学大学院工学研究科教授 佐久間一郎

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

招待論文 フルスペック 8K スーパーハイビジョン圧縮記録装置の開発 3.3 記録制御機能と記録媒体 144 Gbps の映像信号を 1/8 に圧縮した場合 18 Gbps 程度 の転送速度が要求される さらに音声データやその他のメ タデータを同時に記録すると 記録再生には 20 Gbps 程度 の転送性能が必要となる また 記録媒体は記録装置から 着脱して持ち運ぶため 不慮の落下などにも耐性のあるこ

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

<4D F736F F D20838C A838B8A54944F8C9F93A28E64976C8F F76322E646F63>

<4D F736F F D20838C A838B8A54944F8C9F93A28E64976C8F F76322E646F63> TMT 可視光分光撮像装置 (WFOS/MOBIE) 用 大口径レンズ及びレンズセルの概念検討一式 仕様書 平成 25 年 4 月 国立天文台 1 総説 国立天文台はアメリカ カナダ 中国 インドと協力して次世代超巨大望遠鏡 Thirty Meter Telescope(TMT) 計画を推進している この望遠鏡はこれまで最大の望遠鏡の主鏡口径である10mを大幅に超える30mとなる 可視光分光撮像装置

More information

<4D F736F F D20362E312090B691CC8DD796458ACF8E4082CC82BD82DF82CC92B48D8290B F578CF590FC837E B82CC8DEC90BB82C68D645890FC D95AA8CF B F CC8A4A94AD2E646F63>

<4D F736F F D20362E312090B691CC8DD796458ACF8E4082CC82BD82DF82CC92B48D8290B F578CF590FC837E B82CC8DEC90BB82C68D645890FC D95AA8CF B F CC8A4A94AD2E646F63> 6.7 極端紫外光源の開発 () 研究の背景と目的半導体デバイスの微細化進展に伴って LSI 製造プロセス中のリソグラフィ用光源は 可視光領域から水銀ランプの g 線 ( 波長 :λ=436nm) I 線 (λ=365nm) を経て KrF エキシマレーザ (λ=48nm) ArF レーザ (λ=93nm) と より短い波長へ推移してきた ITRS (International technology

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

FT-IRにおけるATR測定法

FT-IRにおけるATR測定法 ATR 法は試料の表面分析法で最も一般的な手法で 高分子 ゴム 半導体 バイオ関連等で広く利用されています ATR(Attenuated Total Reflectance) は全反射測定法とも呼ばれており 直訳すると減衰した全反射で IRE(Internal Reflection Element 内部反射エレメント ) を通過する赤外光は IRE と試料界面で試料側に滲み出した赤外光 ( エバネッセント波

More information

Mirror Grand Laser Prism Half Wave Plate Femtosecond Laser 150 fs, λ=775 nm Mirror Mechanical Shutter Apperture Focusing Lens Substances Linear Stage

Mirror Grand Laser Prism Half Wave Plate Femtosecond Laser 150 fs, λ=775 nm Mirror Mechanical Shutter Apperture Focusing Lens Substances Linear Stage Mirror Grand Laser Prism Half Wave Plate Femtosecond Laser 150 fs, λ=775 nm Mirror Mechanical Shutter Apperture Focusing Lens Substances Linear Stage NC Unit PC は 同時多軸に制御はできないため 直線加工しかでき 図3は ステージの走査速度を

More information

untitled

untitled インクジェットを利用した微小液滴形成における粘度及び表面張力が与える影響 色染化学チーム 向井俊博 要旨インクジェットとは微小な液滴を吐出し, メディアに対して着滴させる印刷方式の総称である 現在では, 家庭用のプリンターをはじめとした印刷分野以外にも, 多岐にわたる産業分野において使用されている技術である 本報では, 多価アルコールや界面活性剤から成る様々な物性値のインクを吐出し, マイクロ秒オーダーにおける液滴形成を観察することで,

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

空間光変調器を用いた擬似振幅変調ホログラムによる光の空間モード変換 1. 研究目的 宮本研究室北谷拓磨 本研究は 中心に近づく程回折効率が小さくなるホログラムを作製し 空間光変調器 (spatial light modulator SLM) を用いて 1 次のラゲールガウスビーム (LG ビーム )

空間光変調器を用いた擬似振幅変調ホログラムによる光の空間モード変換 1. 研究目的 宮本研究室北谷拓磨 本研究は 中心に近づく程回折効率が小さくなるホログラムを作製し 空間光変調器 (spatial light modulator SLM) を用いて 1 次のラゲールガウスビーム (LG ビーム ) 空間光変調器を用いた擬似振幅変調ホログラムによる光の空間モード変換 1. 研究目的 宮本研究室北谷拓磨 本研究は 中心に近づく程回折効率が小さくなるホログラムを作製し 空間光変調器 (spatial light modulator SLM) を用いて 1 次のラゲールガウスビーム (LG ビーム ) を正確に発生させることを目的とする このようなホログラムはまた 光子の軌道角運動量状態および軌道角運動量重ね合わせ状態の柔軟な検出及び操作を実現することが期待される

More information

NaI(Tl) CsI(Tl) GSO(Ce) LaBr 3 (Ce) γ Photo Multiplier Tube PMT PIN PIN Photo Diode PIN PD Avalanche Photo Diode APD MPPC Multi-Pixel Photon Counter L

NaI(Tl) CsI(Tl) GSO(Ce) LaBr 3 (Ce) γ Photo Multiplier Tube PMT PIN PIN Photo Diode PIN PD Avalanche Photo Diode APD MPPC Multi-Pixel Photon Counter L 19 P6 γ 2 3 27 NaI(Tl) CsI(Tl) GSO(Ce) LaBr 3 (Ce) γ Photo Multiplier Tube PMT PIN PIN Photo Diode PIN PD Avalanche Photo Diode APD MPPC Multi-Pixel Photon Counter LaBr 3 (Ce) PMT 662keV 2.9% CsI(Tl) 7.1%

More information

エラー動作 スピンドル動作 スピンドルエラーの計測は 通常 複数の軸にあるセンサーによって行われる これらの計測の仕組みを理解するために これらのセンサーの 1つを検討する シングル非接触式センサーは 回転する対象物がセンサー方向またはセンサー反対方向に移動する1 軸上の対象物の変位を測定する 計測

エラー動作 スピンドル動作 スピンドルエラーの計測は 通常 複数の軸にあるセンサーによって行われる これらの計測の仕組みを理解するために これらのセンサーの 1つを検討する シングル非接触式センサーは 回転する対象物がセンサー方向またはセンサー反対方向に移動する1 軸上の対象物の変位を測定する 計測 LION PRECISION TechNote LT03-0033 2012 年 8 月 スピンドルの計測 : 回転数および帯域幅 該当機器 : スピンドル回転を測定する静電容量センサーシステム 適用 : 高速回転対象物の回転を計測 概要 : 回転スピンドルは 様々な周波数でエラー動作が発生する これらの周波数は 回転スピード ベアリング構成部品の形状のエラー 外部影響およびその他の要因によって決定される

More information

<834A835E838D834F2E786C7378>

<834A835E838D834F2E786C7378> 平凸シリンドリカルレンズ / Positive Cylindorical lenses 平凸シリンドリカルレンズは正の焦点距離を持ちます 入射されたビームをライン状に集光させる用途などに使用されます, FS, UVFS, CaF2, ZnSe 焦点距離公差 ±3 % 設計波長 632.8 nm スクラッチ - ディグ 40-20 S/D

More information

C12CA/C13CA シリーズ 光学的特性 項目 TM-UV/VIS-CCD TM-VIS/NIR-CCD C12CA C12CAH C13CA C13CAH 単位 感度波長範囲 2 ~ 32 ~ 1 nm 波長分解能 ( 半値幅 )* 3 max. 1 typ. * 4 max. 1* 4 ty

C12CA/C13CA シリーズ 光学的特性 項目 TM-UV/VIS-CCD TM-VIS/NIR-CCD C12CA C12CAH C13CA C13CAH 単位 感度波長範囲 2 ~ 32 ~ 1 nm 波長分解能 ( 半値幅 )* 3 max. 1 typ. * 4 max. 1* 4 ty C12CA/C13CA シリーズ 高感度タイプ ( 裏面入射型 CCD イメージセンサを採用 ) 高分解能タイプ ミニ分光器 TMシリーズは 光学素子とイメージセンサと駆動回路をコンパクトにまとめた分光器 ( ポリクロメータ ) です 測定光を光ファイバ経由で入光し 分光結果をUSB 接続でPCに取り込むことにより 分光スペクトルの収集が可能です 本製品は 裏面入射型 CCDイメージセンサを採用した高感度タイプで

More information

Microsoft PowerPoint - 21.齋修正.pptx

Microsoft PowerPoint - 21.齋修正.pptx 薄膜シリコン太陽電池用光閉じ込め技術の開発 先端産業プロセス 低コスト化チーム齋均 発電効率 5%( 接合 ) J SC = 5 ma/cm c-s:h 単接合 ( 膜厚 ~ m) で30 ma/cm 光閉じ込めによる c-s:hの高電流化が必須 c-s:h で 30 ma/cm テクスチャ無しで膜厚 5 m 相当 光マネジメントで実現 a-s:h c-s:h Buffer BSR Glass TCO

More information

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は 25 回目ということで 記念大会として特別セッションを設け バンケットは例年より長めに設定した 以下

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

<4D F736F F F696E74202D2091E63489F15F436F6D C982E682E992B48D8291AC92B489B F090CD2888F38DFC E B8CDD8

<4D F736F F F696E74202D2091E63489F15F436F6D C982E682E992B48D8291AC92B489B F090CD2888F38DFC E B8CDD8 Web キャンパス資料 超音波シミュレーションの基礎 ~ 第 4 回 ComWAVEによる超高速超音波解析 ~ 科学システム開発部 Copyright (c)2006 ITOCHU Techno-Solutions Corporation 本日の説明内容 ComWAVEの概要および特徴 GPGPUとは GPGPUによる解析事例 CAE POWER 超音波研究会開催 (10 月 3 日 ) のご紹介

More information

DUVレーザによる微細加工技術開発,三菱重工技報 Vol.53 No.4(2016)

DUVレーザによる微細加工技術開発,三菱重工技報 Vol.53 No.4(2016) 新製品 新技術特集技術論文 57 DUV レーザによる微細加工技術開発 Development of Microfabrication Technology by DUV Laser *1 今宮悠治 *1 赤間知 Yuji Imamiya Satoru Akama *2 藤田善仁 *3 二井谷春彦 Yoshihito Fujita Haruhiko Niitani 近年, レーザ加工技術は目覚ましく進展しており,

More information

研究成果報告書(基金分)

研究成果報告書(基金分) 25 6 7 (EUV) 2 34 nm L/S Rate constants for the reaction of the electrons with triphenylsulfonium triflate (TPS-Tf) and pinanediol monosulfonates, which consist of tosylate (PiTs) or 4-trifluoromethylbenzenesulfonate

More information

PC LC8 TLSXB92JC (%) 5 15 25 3 35 (h) : D-SUB : RS-232C WORLD-WIDE OPERATION 142 227 311 142 227 311 (%) 9 7 5 3 1 : LC8 : A14-5-11 5 mm1 m 1 3 5 (%) 142 227 311 142 227 311 TLSXB143JB 7 9 mm TLSXB144JB

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

Datenblatt

Datenblatt 寸法 35 55 9 Teach H.Res Norm H.Spd Off.D T.Off On.D LO DO 型番 光ファイーバーアンプ 特長 接続方法 簡単操作 表示と設定ボタンでパラメータ設定可能 ブリッジ通信でもっと簡単な配線構成が可能 ASC ( 自動信号制御 ) 透明体検出可能 マスタモジュール 3 μs ハイスピードモード +UB 13VDC V Q Release dae: 29-1-22

More information

研究成果報告書

研究成果報告書 10m 2m Ge Si BaF2 ZnSZnSe Sb-Ge-Sn-S IIR-SF1 1 2 Tungsten SilicideWSi WSi () IIR-SF 1 Sb-Ge-Sn-S 0.85~11μm2.710μm 253 C Al Al 220μm He-Cd laser 1 Exposure Photoresist WSi (a) 500 nm Development RIE WSi

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Presentation Title Arial 28pt Bold Agilent Blue

Presentation Title Arial 28pt Bold Agilent Blue Agilent EEsof 3D EM Application series 磁気共鳴による無線電力伝送システムの解析 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリングアプリケーション エンジニア 佐々木広明 Page 1 アプリケーション概要 実情と現状の問題点 非接触による電力の供給システムは 以前から研究 実用化されていますが そのほとんどが電磁誘導の原理を利用したシステムで

More information

Slide 1

Slide 1 CMOS イメージセンサ向けプローブカードに求められる 信号の高速化と低電源ノイズ要求に対する最近の取り組みについて Minoru Mikami, Electrical Design Engineer Formfactor Inc. SPG Group Agenda 1. Overview 2. CIS(CMOS Image Sensor) Probe Card History 3. MIPI D-PHY

More information

記者発表資料

記者発表資料 2012 年 6 月 4 日 報道機関各位 東北大学流体科学研究所原子分子材料科学高等研究機構 高密度 均一量子ナノ円盤アレイ構造による高効率 量子ドット太陽電池の実現 ( シリコン量子ドット太陽電池において世界最高変換効率 12.6% を達成 ) < 概要 > 東北大学 流体科学研究所および原子分子材料科学高等研究機構 寒川教授グループはこの度 新しい鉄微粒子含有蛋白質 ( リステリアフェリティン

More information

<4D F736F F F696E74202D C834E D836A834E83588DDE97BF955D89BF8B5A8F F196DA2E >

<4D F736F F F696E74202D C834E D836A834E83588DDE97BF955D89BF8B5A8F F196DA2E > 7-1 光学顕微鏡 8-2 エレクトロニクス材料評価技術 途による分類 透過型顕微鏡 体組織の薄切切 や細胞 細菌など光を透過する物体の観察に いる 落射型顕微鏡 ( 反射型顕微鏡 ) 理 学部 材料機能 学科 属表 や半導体など 光を透過しない物体の観察に いる 岩 素顕 iwaya@meijo-u.ac.jp 電 線を使った結晶の評価法 透過電 顕微鏡 査電 顕微鏡 実体顕微鏡拡 像を 体的に

More information

DiovNT

DiovNT トピックス X 線露光技術の現状 田口孝雄, 松井安次 技術研究組合 超先端電子技術開発機構 Advances in Proximity X-ray Lithography Takao TAGUCHI and Yasuji MATSUI Association of Super-Advanced Electronics Technologies (ASET) This article reviews

More information

<4D F736F F F696E74202D AC89CA95F18D9089EF975C8D658F F43945A A CC8A4A94AD298F4390B394C5205B8CDD8AB B83685D>

<4D F736F F F696E74202D AC89CA95F18D9089EF975C8D658F F43945A A CC8A4A94AD298F4390B394C5205B8CDD8AB B83685D> 小型 低消費電力を実現するグリーン MEMS センサの開発 センサネットワーク用 VOC( 揮発性有機化合物 ) 濃度センサの開発 オリンパス株式会社白石直規 発表内容 OUTLINE 1. 背景と目的 2. 開発項目と目標 3. 開発の成果 4. ネットワーク 応用分野 5. まとめ 1. 背景と目的 VOCとは VOC(volatile organic compounds 揮発性有機化合物) とは

More information

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2 S9066-211SB S9067-201CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2つの受光部の出力を減算し ほぼ可視光域にのみ感度をもたせています また従来品に比べ 同一照度における異なる色温度の光源に対しての出力変化を低減しています

More information

事例8_ホール素子

事例8_ホール素子 省エネルギーその 7- ホール素子 ホール IC 1. 調査の目的エアコンの室内機と室外機には空調を行うための FAN 用のモータが搭載されている モータには DC ブラシレスモータと AC モータ ( 誘導モータ ) とがある DC ブラシレスモータを搭載したエアコンはインバータエアコンと呼ばれ 電力の周波数を変えてモータの回転数を制御できることから 非インバータエアコン (AC モータを搭載 )

More information

偏光板 波長板 円偏光板総合カタログ 偏光板 シリーズ 波長板 シリーズ 自社製高機能フィルムをガラスで挟み接着した光学フィルター

偏光板 波長板 円偏光板総合カタログ 偏光板 シリーズ 波長板 シリーズ 自社製高機能フィルムをガラスで挟み接着した光学フィルター 偏光板 波長板 円偏光板総合カタログ 偏光板 波長板 自社製高機能フィルムをガラスで挟み接着した光学フィルター 光について ルケオの光学フィルター でんじは 光とは 電磁波の一種です 波のような性質があります 電磁波とは 電界と磁界が互いに影響し合いながら空間を伝わっていく波のことを言います 電磁波は 波長により次のように分類されます 人の目で認識できる光を可視光線と言います 創業時から 50 年以上かけて培ってきた光学フィルム製造技術や接着技術があります

More information

AN504 Through-hole IRED/Right Angle Type 特長 パッケージ 製品の特長 φ3.6 サイドビュ - タイプ 無色透明樹脂 光出力 : 5mW TYP. (I F =50mA) 鉛フリーはんだ耐熱対応 RoHS 対応 ピーク発光波長指向半値角素子材質ランク選別はん

AN504 Through-hole IRED/Right Angle Type 特長 パッケージ 製品の特長 φ3.6 サイドビュ - タイプ 無色透明樹脂 光出力 : 5mW TYP. (I F =50mA) 鉛フリーはんだ耐熱対応 RoHS 対応 ピーク発光波長指向半値角素子材質ランク選別はん 特長 パッケージ 製品の特長 φ3.6 サイドビュ - タイプ 無色透明樹脂 光出力 : 5mW TYP. (I F =50mA) 鉛フリーはんだ耐熱対応 RoHS 対応 ピーク発光波長指向半値角素子材質ランク選別はんだ付け方法 ESD 出荷形態 950nm 60 deg. GaAs 放射強度選別を行い ランクごとに選別 半田ディップ マニュアルはんだ実装工程に対応 はんだ付けについては はんだ付け条件をご参照ください

More information

単板マイクロチップコンデンサ / 薄膜回路基板

単板マイクロチップコンデンサ / 薄膜回路基板 単板マイクロチップコンデンサ / 薄膜回路基板 2 2 3 単板マイクロチップコンデンサ CLB シリーズ 特長. なめらかで緻密なセラミクスと金電極を用いたシンプルな単板構造であるため 信頼性 周波数特性に優れています 2. 超小型の0.25mm 角からシリーズ化しており 回路の小型化 高密度実装に適しています 3. 金電極を用いているので AuSnによるダイボンディング Au 線によるワイヤーボンディングができます

More information

Microsoft PowerPoint - 集積デバイス工学5.ppt

Microsoft PowerPoint - 集積デバイス工学5.ppt MO プロセスフロー ( 復習 集積デバイス工学 ( の構成要素 ( 抵抗と容量 素子分離 -well 形成 ゲート形成 拡散領域形成 絶縁膜とコンタクト形成 l 配線形成 6 7 センター藤野毅 MO 領域 MO 領域 MO プロセスフロー ( 復習 素子分離 -well 形成 ゲート形成 拡散領域形成 絶縁膜とコンタクト形成 l 配線形成 i 膜 ウエルポリシリコン + 拡散 + 拡散コンタクト

More information

LMSシリーズ LMS1000, 製品群一覧

LMSシリーズ LMS1000, 製品群一覧 製品群一覧 LMS1000 新記録の超高速測定 利点 A 超高速測定 B C D E F 2D-LiDARセンサLMS1000は 屋内および屋外アプリケーション向けの理想的なソリューションを提供する上 過酷な環境 条件にも対応することができます この傑出した性能は LMS1000によって測定された距離値の準備および最適化を行う デジタルフィルタを追加することにより さらに向上させることができます ユーザはフィルタを使用することにより

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

3. 測定方法 測定系統図 測定風景写真

3. 測定方法 測定系統図 測定風景写真 ワンセグ切り出し方式室内実験結果 北日本放送株式会社 目的 ワンセグ切り出し方式の 固定受信への影響軽減 を検証 1. 内容 SFN 干渉による固定受信への影響について以下を測定し比較する フルセグ( 希望波 ) にフルセグ ( 再送信波 ) が重なった時の (B 階層 ) のC/N フルセグ( 希望波 ) にワンセグ切り出し ( 再送信波 ) が重なった時の (B 階層 ) のC/N 2. 被測定装置

More information

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする

More information