PowerPoint プレゼンテーション

Size: px
Start display at page:

Download "PowerPoint プレゼンテーション"

Transcription

1 EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1

2 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター ) 上澤史且 / サブリーダー ( ソニー ) 田村貴央 ( ルネサスエレクトロニクス ) 東川巌 ( 東芝 ) 和田恵治 ( ローム ) 山本次朗 ( 日立製作所 ) 笹子勝 ( パナソニック ) - コンソーシアム - 須賀治 (EIDEC) -SEAJ 他 - 奥村正彦 / 国際委員 (SEAJ: ニコン ) 高橋和弘 (SEAJ: キヤノン ) 中島英男 (SEAJ:TEL) 山口哲男 (SEAJ: ニューフレアテクノロジー ) 笠間邦彦 (SEAJ: ウシオ電機 ) 大久保靖 (HOYA) 林直也 ( 大日本印刷 ) 森本博明 ( 凸版印刷 ) 大森克実 ( 東京応化工業 ) 栗原啓志郎 ( アライアンスコア ) 計 18 名 STRJ WS: March 8, 2013, WG5 Litho 2

3 STRJ WG5 活動状況 ITRS 2012 update/itrs 2013 改訂に向けた取り組み 各テーブルの見直し Potential Solutions テーブルの見直し 新規 DSA Table への対応を議論 NGL 進捗確認 ( 学会発表等の最新状況を参加委員から報告 ) EUVL 開発状況 光源パワー マスクインフラ レジスト開発 光リソから EUVL への移行時期の見極め その他の露光技術 (ML2, Imprint, DSA) の動向確認 STRJ WS: March 8, 2013, WG5 Litho 3

4 Micro SD カード NAND フラッシュ 現在 SD カードで 128GB, μsd カードで 64GB のものが販売されている STRJ WS: March 8, 2013, WG5 Litho 4

5 2 年弱で 半値に! いかに安く作るか? が重要 STRJ WS: March 8, 2013, WG5 Litho 5

6 NAND で量産適用されている技術 ArF レーサ ー光源 λ=0.193μm リソのマージンを考慮すると ArF 液浸での解像限界は 38nm ラインアンドスペース 次の Slide の Self Aligned Double Patterning(SADP) で 19nm ラインアンドスペースまで 形成可能 LOGIC デバイス ( 2D ランダム形状 ) では 45nm ライン & スヘ ース前後か SMO やネガティブ現像技術で もう少し頑張れるか? 先端突き合わせ部が困難 STRJ WS: March 8, 2013, WG5 Litho 6

7 1 st Mask SADP は 19nm ハーフヒ ッチが限界 SADP Flow 193i (ArF 液浸 ) レジスト 38nm L&S EUVL Flow 1 st Mask λ=13.5nm NA = 0.32 Etch 2 nd MASK Cut 領域 Etch Depo Etch 2 nd Cut Mask Etch 余分なサイト ウォール EUVL が使えれば 単純なリソ / エッチング工程で 製造できる 19nm L&S STRJ WS: March 8, 2013, WG5 Litho 7

8 19nm 以下のパターンを液浸で形成するために 1 st Mask は トリプルパターニングが必要 SAQP Flow (Self Aligned Quadruple Patterning) レジスト 38nm L&S EUVL Flow λ=13.5nm NA = st Mask Etch Depo Etch Depo Etch 2 nd Cut Mask Etch Etch 19nm L&S Etch 3rd Cut Mask 9.5nm L&S SADP を繰り返す事によって 10nm hp まで可能だが工程数が多い チップサイズ縮小による単価削減に見合うかどうかが Key STRJ WS: March 8, 2013, WG5 Litho 8

9 EUVL の大きな課題 1. 光源の出力計画通りに進んでいない 2. 無欠陥マスク EUV 波長の検査機の登場はこれから 多層膜の欠陥が 0 にならない 3. レジストの解像力 感度 LER まだ 両立せず STRJ WS: March 8, 2013, WG5 Litho 9

10 露光機のスペック EUV 光源の状況 年 NXE:3100 NXE:3300B NXE:3300C スルーフ ット (wph) 光源ハ ワー (W) 光源ハ ワーの現状 プレ量産機 LPP, LDP 光源とも 現状のパワーは10W 程度 (NXE:3100) LPP 光源 :Cymer = 40W Gigaphoton=20W LDP 光源 : Xtreme= 45W 一番の問題は 予定通りに向上しないこと 量産機 1 時間に 5~6 枚 125wph を実現するには 25 台の露光装置が必要 実験機レベル LPP : Laser Produced Plasma LDP : Laser assisted Discharge Plasma STRJ WS: March 8, 2013, WG5 Litho 10

11 遮光ブランクスEUV マスク開発状況 ブランクスにおいて LTEM( ガラス基板 ) の Pit 欠陥は抑えられる しかし 多層膜の欠陥を減らす事多層膜の欠陥を減らす事ができていない 現状では 欠陥の真上に遮光膜が来るようにして回避するしかない EUV 波長の検査装置が登場するのは 今年 (2013 年 ) 新たな欠陥 ( 種 ) が見えてくる可能性も有り ARC TaX Ru cap 膜Mo/Si 多層膜 LTEM EUVマスクの断面構造 CrN STRJ 出典 WS: :EUVL March 8, Symposium 2013, WG Litho 11

12 EUV Mask 欠陥検査装置開発状況 量産対応装置のリリース時期 ブランクス欠陥検査 (EUV) Lasertec /EIDEC : 2013(16nmhp) KLA : 2015 (11nmhp) 転写性レビュー検査 (EUV AIMS) Zeiss/Sematech : 3Q.2014(NA0.3) ( Aerial Image Measurement System ) マスクパターン欠陥検査 (EB) Ebara/EIDEC : 2014(16nmhp) HMI, AMAT (EUV) KLA : 4Q.2015 現状は既存の欠陥装置 (DUV 光源 ) とウエーハ転写 / 検査で開発が進められている STRJ WS: March 8, 2013, WG5 Litho 12

13 欠陥検査ツールが進化すると 見えて いなかったものが見えてくる 既存ツール Teron6xx (DUV) EIDEC (Actinic) 概念図 今後 踏み込む領域 KLA 7xx (Actinic) STRJ WS: March 8, 2013, WG5 Litho 13

14 EUV レジスト開発状況 化学増幅型のレジスト 出典 :Proc. of SPIE Vol A 6 JSR 社 PAG の酸の拡散を抑えた材料 ~30mJ かなり良いレジストが出始めている 無機レジスト 出典 :Proc. of SPIE Vol ~80mJ 感度の悪い点が問題 STRJ WS: March 8, 2013, WG5 Litho 14

15 Logic デバイス各社の微細化 road map Intel Now Now nm: FINFET, M1=p90nm, M2,3=p80nm 193i single pat. 14nm: FINFET(Bulk), M1=p64nm?, 193i double pat. 10nm: 詳細??? TSMC 20nm: Planer, M1=p64nm,193i double pat. 16nm: FINFET, BEOLは 20nmと同じ M1=p64nm 10nm: 詳細??? M1=p44nm?? double? Global Foundry 20nm: Planer, M1=p64nm,193i double pat. 14nm: FINFET, BEOLは 20nmと同じ M1=p64nm 10nm: 詳細???M1=p44nm?? double? STRJ WS: March 8, 2013, WG5 Litho 15

16 最近の情報 2013/2/5 Common Platform Technology Forum (IBM, Global Foundry, Samsung ) While everyone agrees EUV will be necessary sometime in the future, it is taking longer to develop and facing more issues than expected. Now it isn't likely to be used until 7nm production or even later. M1 16nm hp??? 2017? 2018? STRJ WS: March 8, 2013, WG5 Litho 16

17 STRJ WS: March 8, 2013, WG5 Litho 17

18 NAND Flash の微細化 road map Now 東芝, SanDisk 1y,1z の課題 微細化に依らない大容量 低コスト化 19nm SADP Floating Gate 構造 1y (18 15nm) SAQP? EUV? NIL? 1z (14 10nm) SAQP? EUV? NIL? セル間で電気的干渉が起きる問題は Air gapの技術で解決 ゲートに蓄積できる電子数が少なくなりすぎる問題 コストの問題 3D ( 東芝 BiCS, Samsung TCAT, Hynix SMArT) ReRAM R STRJ WS: March 8, 2013, WG5 Litho 18

19 昨年の情報 2012/7/27 Korean IT news If ASML develops the equipment without delays and Samsung Electronics and SK Hynix adopt EUV equipment according to their schedule, the era of 10 nano memory semiconductors will begin next year. EUV equipment will be applied to NAND flash and is anticipated to be adopted for DRAM in the future, said a source of the industry. In order to use EUV lithography in actual production, it should be able to treat more than 60 wafers an hour. This is a challenge that ASML has to overcome in developing EUV lithography. NXE3100 で105W 出れば 使うぞというメッセージか STRJ WS: March 8, 2013, WG5 Litho 19

20 STRJ WS: March 8, 2013, WG5 Litho 20

21 Cymer 社 EUV 光源 Road map の変遷 Now 10~30W EUVL Sympo. HVMⅠ >100W HVMⅡ HVMⅢ >200W >400W 2010 EUVL Sympo. HVMⅠ >100W HVMⅡ >250W HVMⅢ >400W HVMⅠ 105W ( NXE3100 ) 2011 HVMⅡ 250W (NXE3300B) EUVL Sympo. HVMⅢ 350W HVMⅣ 500W 2012 HVMⅠ 60W? EUVL Sympo. 80W HVMⅡ 250W 100W が出てくるはずのタイミングを で示した STRJ WS: March 8, 2013, WG5 Litho 21

22 EUV は間に合うか それともトリプルパターンニングか? 現時点のEUV 光源出力 これまでの進捗から判断すると NANDフラッシュ 16nm hp, DRAM Logic 22nm hp に用いる リソグラフィー技術は ArF 液浸 NAND : SAQP トリプルパターニング LOGIC: LELE ダブルか (Cut マスクを含む ) トリプルか 懸念点 : 工程 ( マスク ) 数の増大に対して コストへの影響 レイアウト設計が複雑になること これもコスト増の要因になる EUV は 光源出力が上がれば 11nm hp NAND, 16nm hp DRAM, Logic へ適用か 7n STRJ WS: March 8, 2013, WG5 Litho 22

23 その他のトピックス STRJ WS: March 8, 2013, WG5 Litho 23

24 短波長さらなる微細化に向けて (1) EUV 波長化波長 高 NA 化短NXE3100 NXE3300 入射角度を大きくできない MASK 倍率と Shotサイズの見直しが 13.5 nm hp/na 必要 化波長 19 NAND 11nmには 間に合わないだろう 6.7 nm hp/na Opportunity STRJ WS: March 8, 2013, WG5 Litho 24

25 さらなる微細化に向けて (2) DSA Directed Self Assembly Lithography PS PMMA(χ=0.04) では pitch 12nm hpが可能 PS PDMS (χ=0.26) では pitch 9nm hpが可能 Pitch 100nm のレジストパターン χ: 相互作用のパラメータ Pitch 25nm のDSAパターン (PS PMMA) 出典 : J. Micro/Nanolith. MEMS MOEMS 11(3), (July Sept 2012) STRJ WS: March 8, 2013, WG5 Litho 25

26 DSA(Directed Self Assembly) リソプロセスフロー ケモエピタキシー法 3 倍 Pitch 化の例 両ポリマーに対して中性な膜 Si 基板 レジストパターンスリミング グラフォエピタキシー法 Si 基板 レジストガイドパターン リンス BCP 塗布 BCPアニール選択的除去 1.5L 0 L 0 これも可能 BCP 塗布 BCP アニール 選択的除去 STRJ WS: March 8, 2013, WG5 Litho 26

27 DSA(Directed Self Assembly) リソ応用例 ケモエピタキシ - 法は ラインパターンの 3~6 倍ピッチ化への応用が期待できる 密な L 字パターンは di block copolymer に 各ホモ Polymer をブレンドすれば形成できる ( 下の写真 ) その他の形状も いろいろと検討されている グラフォエピタキシー法は ホール調整 (rectification: 縮小等 ) への応用が期待できる 多少 ガイドパターンの径がばらついていても ばらつきの小さい DSA 径が出来る可能性あり L 字パターンの例 70nm Pitch Stoykovich et.al. Science 308,1442(2005) ガイドパターン 径の縮小 DSA ガイドパターン ガイドパターン DSA STRJ WS: March 8, 2013, WG5 Litho 径とヒ ッチの縮小 27

28 DSA リソの課題 大きな課題は DSA 固有欠陥のないこと ( 転位 回位欠陥 ) 設計ルール ( 特に ライン系の倍 Pitch 化への適用時 ) 20nm どうやって切る? 12nm hp L&S での欠陥評価として ( 多めに見積もって ) < 26 個 /cm 2 という報告があり デバイスパターンライDSA にン&スペースよる(AMAT, IBM Proc. of SPIE Vol F 6(2011) 転位欠陥 STRJ WS: March 8, 2013, WG5 Litho 回位欠陥 28

29 DSA Table for 2013 ITRS ( 案 ) LSI への適用検討が始まったばかり 2018 年頃か? ホール形成への適用が最初か STRJ WS: March 8, 2013, WG5 Litho 29

30 450mm 化の対応 July.9 July.9 資 ) Aug.6 Aug.8 オランダ会議で 赤に修正した ASML 共同投資プログラムを発表 (450mm 対応装置 EUVL) Intel 社 ASML 社に総額 $4.1Bの投資 ( 研究開発費 株式投 (7 割が450mm 化向け ) TSMC 社 ASML 社に総額 $1.36Bの投資 Intel 社 ニコンに 数百億の開発費提供 Aug.27 Samsung 社 ASML 社に総額 $0.95B の投資 ASML 社には計 $6.4B(5,100 億円 ) の資金 STRJ WS: March 8, 2013, WG5 Litho 30

31 加速資金を得た結果のスケジュール 出典 :ASML 社の Home Page より 開発機 量産機 年は 空白状態 Global 450 Consortium(G450C) は 昨年にNano Imprintの装置を導入して開発を進めている NikonはArF 露光機を17 年に出荷予定 STRJ WS: March 8, 2013, WG5 Litho 31

32 まとめ EUVL 量産使用開始は 2015 年 ただし 光源パワーが目標値に近づかないと Cost effective にならない DPT が量産適用されている EUVL 導入までに MPT まで延命適用される可能性が高い Cost effective に出来るかどうかが鍵 450mm 化対応に向けて 露光機メーカにデバイスメーカーから開発資金が投入された 量産機のリリースは 2017 年から STRJ WG5 として 今後も ITRS ロードマップ策定に貢献していく STRJ WS: March 8, 2013, WG5 Litho 32

33 略語 AIMS Aerial Image Measurement System AMC Airborne Molecular Contamination ARC Anti-Reflection Coating BARC Bottom ARC TARC Top ARC CAR Chemical Amplified Resist CD Critical Dimension CDU CD Uniformity DE Double Exposure DFM Design for Manufacturing/ Design for Manufacturability DP/MP Double Patterning / Multiple Patterning DPP Discharged Produces Plasma DSA Directed-Self-Assembly DOF Depth of Focus EBDW Electron Beam Direct Writer EDA Electronic Design Automation EPL Electron Projection Lithography ESD Electro Static Discharge EUVL Extreme Ultraviolet Lithography IPL Ion Projection Lithography LDP Laser assisted Discharge Plasma LER Line Edge Roughness LPP Laser Produced Plasma LTEM Low Thermal Expansion Material LWR Line Width Roughness MEEF Mask Error Enhancement Factor (=MEF) ML2 Maskless Lithography NA Numerical Aperture NGL Next Generation Lithography NIL NanoImprint Lithography NTD Negative Tone Development OAI Off-Axis Illumination OPC Optical Proximity Corrections RBOPC Rule Base OPC MBOPC Model Base OPC PSM Phase Shifting Mask cpsm complementary PSM APSM Alternating PSM EPSM Embedded PSM Att. PSM Attenuated PSM PXL Proximity X-ray Lithography RET Resolution Enhancement Techniques SADP Self Aligned DP SAQP Self Aligned Quadruple Patterning SB Scattering Bar (same meaning as SRAF) SRAF Sub Resolution Assist Feature SFIL Step & Flash Imprint Lithography UV-NIL Ultraviolet NIL STRJ WS: March 8, 2013, WG5 Litho 33

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

Main-Title

Main-Title 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

第7章 WG5 リソグラフィ

第7章 WG5 リソグラフィ 第 6 章 WG5 リソグラフィ 6-1 はじめに 2008 年度 WG5( リソグラフィ WG) では ITRS2008update 版の作成に向けて 技術世代に対応した解決策候補 (Potential Solutions) の技術調査 各種テーブルの見直し クロスカット活動などを行うとともに 2009 版の作成指針を絞り込んだ Lithography itwg は SEMATECH から出ているリーダーの下

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

フォトポリマー懇話会

フォトポリマー懇話会 半導体向け EUV リソグラフィの現状と展望 株式会社東芝セミコンダクター & ストレージ社半導体研究開発センターリソグラフィプロセス技術開発部内山貴之 2015 Toshiba Corporation 内容 1. はじめに デバイスのスケーリングとリソグラフィ技術 次世代リソグラフィ技術の現状と課題 2. EUV リソグラフィの概要 EUV リソグラフィの課題 高 NA-EUV リソグラフィ 3.

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

スライド 1

スライド 1 モデリング & シミュレーションの 現状と経済的効果 モデリング / シミュレーション WG (WG10) 佐藤成生青木伸俊 國清辰也 木村光紀 泉直希 麻多進 海本博之 林洋一 藤原秀二 西尾修 中村光利 小方誠司 和田哲典 佐野伸行 三浦道子 大野隆央 谷口研二 小谷教彦 STRJ WS: March 6, 2009, WG10 1 構成メンバー 氏名組織役割主担当領域 佐藤成生 富士通マイクロエレクトロニクス主査

More information

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc.

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc. < コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 Copyright Gigaphoton Inc. ギガフォトンの事業概要 2 半導体露光 光源ビジネス 液晶アニール 光源ビジネス 本体販売先 部品販売先 ASML, Nikon, Canon Intel, Toshiba, Samsung, TSMC など半導体メーカ

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

PowerPoint Presentation

PowerPoint Presentation 1 Litho 計測の現状と課題 ( 計測 WG) & 故障解析 SWG 活動状況報告 2 Litho 計測の現状と課題 ( 計測 WG) メンバー 河村栄一 ( 富士通マイクロエレクトロニクス ) 山崎裕一郎 ( 東芝 ) 上澤史且 (SONY) 清水澄人 ( パナソニック ) 横田和樹 (NECEL) 市川昌和 ( 東京大学 ) 水野文夫 ( 明星大学 ) 小島勇夫 ( 産総研 ) 池野昌彦 (

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

スライド 1

スライド 1 LER/LWR WG4 /WG5 /WG6(PIDS)/WG11 65nm WG11 1 LER/LWR 2004 UPDATE LER/LWR 2 1. LER/LWR 2. * * 3. 4. * Lithography/PIDS/Interconnect 5. 3 1. LER/LWR Line-Edge Roughness (LER) L y Line-Width Roughness (LWR)

More information

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63>

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63> 技術紹介 6. イオンビームスパッタリング法によるエキシマレーザ光学系用フッ化物薄膜の開発 Development of fluoride coatings by Ion Beam Sputtering Method for Excimer Lasers Toshiya Yoshida Keiji Nishimoto Kazuyuki Etoh Keywords: Ion beam sputtering

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

soturon.dvi

soturon.dvi 12 Exploration Method of Various Routes with Genetic Algorithm 1010369 2001 2 5 ( Genetic Algorithm: GA ) GA 2 3 Dijkstra Dijkstra i Abstract Exploration Method of Various Routes with Genetic Algorithm

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

先端ナノパターニング材料 プロセス国際ワークショップ参加申込書 氏名所属住所 TEL 懇親会参加します 参加しません ( いずれかを消して下さい )

先端ナノパターニング材料 プロセス国際ワークショップ参加申込書 氏名所属住所 TEL  懇親会参加します 参加しません ( いずれかを消して下さい ) 先端ナノパターニング材料 プロセス 国際ワークショップ 大阪大学産業科学研究所田川精一 早稲田大学理工学術院 総合研究所鷲尾方一 謹啓 半導体デバイスの微細化は進展を続けており 本年は MPU DRAM デバイスでは 32nm NAND フラッシュデバイスでは 22nm 以下のそれぞれ最小ハーフピッチパターンが求められています 2015 年にはそれぞれ 22nm 16nm 2018 年にはそれぞれ

More information

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 42, No. 1, 2008 Li 2 B 4 O 7 (LBO) *, ** * ** ** Optical Scatterer and Crystal Growth Technology of LBO Single Crystal For Development with Optical Application

More information

<4D F736F F D20838A835C834F CC B94C52D32>

<4D F736F F D20838A835C834F CC B94C52D32> 第 2 編リソグラフィ WG 第 1 章はじめに 1-1 背景 ITRS ならびに STRJ のロードマップには デバイスメーカ主体の観点で今後のデバイスの将来像が描かれている これはその将来のデバイスの実現のために それぞれの技術研究開発を集約し市場にデバイスが供給可能な体制をいつまでに整える必要があるかを明示している 図 2-1-1 デバイス量産立ち上がりカーブ Figure 2-1-1 Production

More information

DiovNT

DiovNT トピックス X 線露光技術の現状 田口孝雄, 松井安次 技術研究組合 超先端電子技術開発機構 Advances in Proximity X-ray Lithography Takao TAGUCHI and Yasuji MATSUI Association of Super-Advanced Electronics Technologies (ASET) This article reviews

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

06-渡辺広明.indd

06-渡辺広明.indd 1 12 2 5 2 DVD 1995 QV1 3 268 4 17 42212 5 6 2 8 CIPA 12 7 1 2 2 1 23 4 25 6 1 27 18 1 2 9 121 1 2 2 2 43 23 2.8 1 2 1 4 1 5 5 CIPA 211 9 12 18 1 6 13 1 7 7 29 25 1 6 1 1 2 21 1 117 2 16 3 SDIC1 4 5 67

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

研究成果報告書(基金分)

研究成果報告書(基金分) 25 6 7 (EUV) 2 34 nm L/S Rate constants for the reaction of the electrons with triphenylsulfonium triflate (TPS-Tf) and pinanediol monosulfonates, which consist of tosylate (PiTs) or 4-trifluoromethylbenzenesulfonate

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new electric wave projector is proposed in this paper. The

More information

車両開発における構造・機構のCAE

車両開発における構造・機構のCAE Mechanical CAE in Automotive Design Yoshio Kojima The urgent issues for automobile companies today are how to reduce the time and cost required for developing a new car. CAE ( Computer Aided Engineering

More information

第122号.indd

第122号.indd -1- -2- -3- 0852-36-5150 0852-36-5163-4- -5- -6- -7- 1st 1-1 1-2 1-3 1-4 1-5 -8- 2nd M2 E2 D2 J2 C2-9- 3rd M3 E3 D3 J3 C3-10- 4th M4 E4 D4 J4 C4-11- -12- M5 E5 J5 D5 C5 5th -13- -14- NEWS NEWS -15- NEWS

More information

JIS SI ppm JIS JIS JIS JIS Z 6005 SI System International d Unites JIS JIS J

JIS SI ppm JIS JIS JIS JIS Z 6005 SI System International d Unites JIS JIS J The standard and de facto standard in a science museum collection * Akio OCHIAI Abstract It was engaged in work of collection arrangement in CHIABA MUSSEUM OF SCIENCE AND INDUSTRY, and one year passed..from

More information

Microsoft PowerPoint - 集積デバイス工学2.ppt

Microsoft PowerPoint - 集積デバイス工学2.ppt チップレイアウトパターン ( 全体例 ) 集積デバイス工学 () LSI の製造プロセス VLSI センター藤野毅 MOS トランジスタの基本構造 MOS トランジスタの基本構造 絶縁膜 絶縁膜 p 型シリコン 断面図 n 型シリコン p 型シリコン 断面図 n 型シリコン 破断面 破断面 トランジスタゲート幅 W 平面図 4 トランジスタゲート長 L 平面図 MOS トランジスタ (Tr) の構造

More information

Spansion_Corporate_Presentation

Spansion_Corporate_Presentation 世界の半導体動向と 生き残りをかけた日本の半導体 株式会社スパンション イノベイツ デザイン代表取締役社長兼スパンション イノベイツ株式会社技術本部長代理独古康昭 1.Oct. 2013 1 2013 SIDL. 世界の半導体半導体動向 2 2013 SIDL. 半導体会社構造 Business R&D Products Customer Strength Weakness Model Fabless

More information

I N S T R U M E N T A T I O N & E L E C T R I C A L E Q U I P M E N T Pressure-resistant gasket type retreat method effective bulk compressibility Fro

I N S T R U M E N T A T I O N & E L E C T R I C A L E Q U I P M E N T Pressure-resistant gasket type retreat method effective bulk compressibility Fro Cable Gland This is the s to use for Cable Wiring in the hazardous location. It is much easier to install and maintenance and modification compared with Conduit Wiring with Sealing Fitting. The Standard

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture

Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture August 31, 2011 Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture The results of airborne monitoring survey by MEXT and Ibaraki prefecture released on August 30 contained

More information

03_委託テーマ発表資料(その2)(p.89-p.134).pdf

03_委託テーマ発表資料(その2)(p.89-p.134).pdf 89 MEMS 2 / 5-0 0-20 90 3 Beyond-CMOS CNT CNT CNT NEC 4 NEDO (80 NEDO 2008.05 Nature Nanotechnology NEDO (8 22 CNT CNT NEDOPJ CNT NEDO M 3 5 Nature Nanotechnology 3, 289-294 (2008) 6 9 7 8 92 9 (!!! '!!!

More information

25 Removal of the fricative sounds that occur in the electronic stethoscope

25 Removal of the fricative sounds that occur in the electronic stethoscope 25 Removal of the fricative sounds that occur in the electronic stethoscope 1140311 2014 3 7 ,.,.,.,.,.,.,.,,.,.,.,.,,. i Abstract Removal of the fricative sounds that occur in the electronic stethoscope

More information

VLSI はすべての IT の要 ほぼすべての IT 機器 システムのの性能は VLSI が決めている Town VLSI は今も進歩を続けている 今後 年は間違いなくシリコンが主役 CMOS は必ずしも最速ではない集積度 信頼度を含めた総合力 将来の高度 IT の要求に応えるにはさらな

VLSI はすべての IT の要 ほぼすべての IT 機器 システムのの性能は VLSI が決めている Town VLSI は今も進歩を続けている 今後 年は間違いなくシリコンが主役 CMOS は必ずしも最速ではない集積度 信頼度を含めた総合力 将来の高度 IT の要求に応えるにはさらな 1 2005 年 5 月 11 日電子 情報技術ロードマップ成果報告会 平本俊郎東京大学生産技術研究所 1. はじめに : 半導体分野を取りまく状況 2. 半導体分野の特徴 3. 本半導体ロードマップの特徴 4. ロードマップの 2 つの柱 4.1. 低消費電力電力 システム LSI 基盤技術 4.2. SoC 開発 / 製造工程のエンジニアリング 5. まとめ VLSI はすべての IT の要 ほぼすべての

More information

23 Study on Generation of Sudoku Problems with Fewer Clues

23 Study on Generation of Sudoku Problems with Fewer Clues 23 Study on Generation of Sudoku Problems with Fewer Clues 1120254 2012 3 1 9 9 21 18 i Abstract Study on Generation of Sudoku Problems with Fewer Clues Norimasa NASU Sudoku is puzzle a kind of pencil

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

(1 ) (2 ) Table 1. Details of each bar group sheared simultaneously (major shearing unit). 208

(1 ) (2 ) Table 1. Details of each bar group sheared simultaneously (major shearing unit). 208 2463 UDC 621.771.251.09 : 621.791.94: 669.012.5 Improvement in Cold Shear Yield of Bar Mill by Computer Control System Koji INAZAKI, Takashi WASEDA, Michiaki TAKAHASHI, and Toshihiro OKA Synopsis: The

More information

幅広い業種や業務に適応可能なRFIDソリューション

幅広い業種や業務に適応可能なRFIDソリューション RFID RFID Solution Applicable to Various Types of Business 吉 田 正 橋 本 繁 落 合 孝 直 あらまし UHF RFID Radio Frequency IDentification UHF RFID RFID UHF RFID Abstract UHF-band Radio Frequency IDentification (RFID)

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

自動車ボディ寸法検査

自動車ボディ寸法検査 Dimensional Inspection of an Automotive Body Kazunori Higuchi, Osamu Ozeki, Shin Yamamoto Abstract With recent increase of a high class and high quality cars, there is a great demand for more sophisticated

More information

2 146

2 146 28 2004 pp. 145 159 1 Received October 29, 2004 In 1999, North Korea reversed the negative economic growth of the 90s, and displayed a positive trend which, although weak, was maintained at 1.8% in 2003.

More information

ArF, KrF,, CO 2 ) X MFE ITER IFE ns, MJ/ ns, MJ/ ms, MJ/ ELM JT60-SA, ITER, DEMO [µm] W 65kV 2.3A [ ] Simple estimation of the threshold thermal load on divertor surface with ELM For Carbon Divertor Case

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

地域共同体を基盤とした渇水管理システムの持続可能性

地域共同体を基盤とした渇水管理システムの持続可能性 I 1994 1994 1994 1,176 1,377 1995, p.21; 1999 Kazuki Kagohashi / 10 1 1 1991 drought water bank 2013 466-8673 18 E-mail:kagohashi@gmail.com 1 355 10 2 Kondo 2013 136 2015 spring / No.403 2 1 1994 1995,

More information

Laser Ablation Dynamics of Amorphous Film of a Cu-Phthalocyanine Derivative Masahiro HOSODA*,**, Hiroshi FURUTANI*,**. Hiroshi FUKUMURA*,** Hiroshi MASUHARA*, Masanobu NISHII*** Nobuyuki ICHINOSE**,***,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 OLED Display Industry Report 2018 SAMPLE 2018.02 1 OLED Display Industry Report 本レポートは OLED ディスプレイをリジッド フレキシブル ソリューションプロセスに分類した上 各章を 6 つの節 * に分けて図表化 記述し 顧客企業における今後の開発方向と事業戦略の策定 投資 トレンド分析などに活用できることを目的とする

More information

表紙_偏光・位相差デバイスのコピー

表紙_偏光・位相差デバイスのコピー 2 Table of Contents 2 Note: Polarizers are available from less than 5mm square to 200 mm and greater diameter Polarizers Retarders 3 Polarizers Retarders Fig. 1-2 4 Polarizers Retarders polarizer. Polarized

More information

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は 25 回目ということで 記念大会として特別セッションを設け バンケットは例年より長めに設定した 以下

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG6(PIDS 及び RF&AMS) 活動報告 ITRS2.0~ デバイス微細化の終焉と 3D Functional Scaling STRJ WS 2015 2016 年 3 月 4 日品川 : コクヨホール WG6 主査 : 福崎勇三 ( ソニー ) WG6 副主査 : 井上裕文 ( 東芝 ) Work in Progress - Do not publish STRJ WS: March 4,

More information

01_7月号_topics_0627.ai

01_7月号_topics_0627.ai ADVANCE News 7 2011 July Vol.88 2 3 Vol.88 2011 July INTERVIEW 8:30 9:00 12:00 13:00 16:00 17:00 18:00 Schedule 4 Vol.88 2011 July 8:30 9:00 12:00 14:00 17:00 18:00 Schedule 5 6 7 8 9 10 Vol.88 2011 July

More information

<4D F736F F F696E74202D B9E93738D EF2891E590BC90E690B68E9197BF292E707074>

<4D F736F F F696E74202D B9E93738D EF2891E590BC90E690B68E9197BF292E707074> 平成 28 年 4 月 28 日 ( 木 ) シンビオ社会研究会講演会京都大学, 百周年時計台記念館 LSI の開発競争と EUV 光源の研究 大西正視 Out Line of Talk 1) Background of the research What is EUV? Why is EUV? 2) Semi conductor Lithography 3) Microwave Discharge

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

Description

Description Metal Hybrid Inductor Description Metal Hybrid Inductor Magnetically shielded Suitable for Large Current Size: 4.3 x 4.3 x H2.1 mm Max. Product weight:.18g (Ref.) Halogen Free available Operating temperature

More information

28 Horizontal angle correction using straight line detection in an equirectangular image

28 Horizontal angle correction using straight line detection in an equirectangular image 28 Horizontal angle correction using straight line detection in an equirectangular image 1170283 2017 3 1 2 i Abstract Horizontal angle correction using straight line detection in an equirectangular image

More information

先端テクノロジにおけるDFM取り組み事例 ~ファブレスとEDAベンダ及び外部ファブとの関係~

先端テクノロジにおけるDFM取り組み事例 ~ファブレスとEDAベンダ及び外部ファブとの関係~ 先端テクノロジにおける DFM 取り組み事例 ~ ファブレスと EDA ベンダ及び外部ファブとの関係 ~ 2014 年 7 月 18 日富士通セミコンダクター ( 株 ) 共通テクノロジ開発センター第三設計技術部花蜜宏晃 Copyright 2014 FUJITSU SEMICONDUCTOR LIMITED 目次 富士通セミコンダクターのご紹介 DFMの位置付け 事例 1: ダブルパターニング層に対するリソグラフィ検証の効率化

More information

09[161-181]鶴岡(責).indd

09[161-181]鶴岡(責).indd Japanese Food Service Industry: Its Business in Asia TSURUOKA Tomoyuki The domestic market for the food service industry in Japan has been stagnated since 1997. It seems difficult to boast sales and profits

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

JAIST Reposi Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu

JAIST Reposi   Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu JAIST Reposi https://dspace.j Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: 661-666 Issue Date 2009-10-24 Type Conference Paper Text version

More information

Consideration of Cycle in Efficiency of Minority Game T. Harada and T. Murata (Kansai University) Abstract In this study, we observe cycle in efficien

Consideration of Cycle in Efficiency of Minority Game T. Harada and T. Murata (Kansai University) Abstract In this study, we observe cycle in efficien Consideration of Cycle in Efficiency of Minority Game T. Harada and T. Murata (Kansai University) Abstract In this study, we observe cycle in efficiency of Minority Game. The Minority Game is a game when

More information

システム開発プロセスへのデザイン技術適用の取組み~HCDからUXデザインへ~

システム開発プロセスへのデザイン技術適用の取組み~HCDからUXデザインへ~ HCDUX Approach of Applying Design Technology to System Development Process: From HCD to UX Design 善方日出夫 小川俊雄 あらまし HCDHuman Centered Design SE SDEMHCDUIUser Interface RIARich Internet ApplicationUXUser

More information

研究成果報告書

研究成果報告書 10m 2m Ge Si BaF2 ZnSZnSe Sb-Ge-Sn-S IIR-SF1 1 2 Tungsten SilicideWSi WSi () IIR-SF 1 Sb-Ge-Sn-S 0.85~11μm2.710μm 253 C Al Al 220μm He-Cd laser 1 Exposure Photoresist WSi (a) 500 nm Development RIE WSi

More information

The Key Questions about Today's "Experience Loss": Focusing on Provision Issues Gerald ARGENTON These last years, the educational discourse has been focusing on the "experience loss" problem and its consequences.

More information

<4D F736F F F696E74202D AC89CA95F18D9089EF975C8D658F F43945A A CC8A4A94AD298F4390B394C5205B8CDD8AB B83685D>

<4D F736F F F696E74202D AC89CA95F18D9089EF975C8D658F F43945A A CC8A4A94AD298F4390B394C5205B8CDD8AB B83685D> 小型 低消費電力を実現するグリーン MEMS センサの開発 センサネットワーク用 VOC( 揮発性有機化合物 ) 濃度センサの開発 オリンパス株式会社白石直規 発表内容 OUTLINE 1. 背景と目的 2. 開発項目と目標 3. 開発の成果 4. ネットワーク 応用分野 5. まとめ 1. 背景と目的 VOCとは VOC(volatile organic compounds 揮発性有機化合物) とは

More information

2

2 2011 8 6 2011 5 7 [1] 1 2 i ii iii i 3 [2] 4 5 ii 6 7 iii 8 [3] 9 10 11 cf. Abstracts in English In terms of democracy, the patience and the kindness Tohoku people have shown will be dealt with as an exception.

More information

SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod

SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod Encore SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod 1970 198030 9020 90 80 10 1980 1990PC 2000 10 ABS ETC ECO 102 40 10GIPS 24 MCU 90nm 65nm 1990 21 1990 200mm501000 300mm 3200mm7 3,500 450mm 8,000 200mm16 1990

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan SNS 1,a) 2 3 3 2012 3 30, 2012 10 10 SNS SNS Development of Firefighting Knowledge Succession Support SNS in Tokyo Fire Department Koutarou Ohno 1,a) Yuki Ogawa 2 Hirohiko Suwa 3 Toshizumi Ohta 3 Received:

More information

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt ITRS 2013 年版の概要と ITRS の編集方針 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長石内秀美 (( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません 1 主要略語一覧 (Glossary) ERD: Emerging Research

More information

[Opening Session]

[Opening Session] PMJ2016 学会報告 1. はじめに PMJ2016 論文委員長大日本印刷株式会社吉岡信行 2016 年 4 月 6 日から 8 日にかけてパシフィコ横浜で PMJ2016 学会が開催された 以下に PMJ2016 学会についての報告を行う 2. 投稿論文数と傾向 PMJ2016 では全体で 63 件の発表が行われた そのうち Oral 発表が 27 件 Poster 発表が 26 件 招待講演が

More information

Bull. of Nippon Sport Sci. Univ. 47 (1) Devising musical expression in teaching methods for elementary music An attempt at shared teaching

Bull. of Nippon Sport Sci. Univ. 47 (1) Devising musical expression in teaching methods for elementary music An attempt at shared teaching Bull. of Nippon Sport Sci. Univ. 47 (1) 45 70 2017 Devising musical expression in teaching methods for elementary music An attempt at shared teaching materials for singing and arrangements for piano accompaniment

More information

ステンレス鋼用高性能冷間鍛造油の開発

ステンレス鋼用高性能冷間鍛造油の開発 Development of High Performance Cold Forging Oil for Stainless Steel Toshihide Ohmori, Kazuhiko Kitamura ClP ( ) Cl P-Zn ( P ) ClP-Zn High performance cold forging oil for stainless steel has been developed

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

T05_Nd-Fe-B磁石.indd

T05_Nd-Fe-B磁石.indd Influence of Intergranular Grain Boundary Phases on Coercivity in Nd-Fe-B-based Magnets Takeshi Nishiuchi Teruo Kohashi Isao Kitagawa Akira Sugawara Hiroyuki Yamamoto To determine how to increase the coercivity

More information

untitled

untitled 2006.2.27 Fantastic Voyage 1966 1 2006.2.27 Fantastic Voyage 2006.2.27 2 2006.2.27 How Small is Micro & Nano? 1mm 10µm 2nm 1m DNA 10 2 10 10 0 10-1 10-2 10-3 10-4 10-5 10-6 10-7 10-8 10-9 10-10 (m) Macroscopic

More information

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU PIV IHI Marine United Inc. ( IHIMU ) has already developed several

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

企業の信頼性を通じたブランド構築に関する考察

企業の信頼性を通じたブランド構築に関する考察 Abstract The importance of the relationship management came to be said. The essence of relationship is a relation based on the shinrai of each other, and the base to build a more long-term relation to

More information