main.dvi

Size: px
Start display at page:

Download "main.dvi"

Transcription

1 ASIC, LIBRARY&TOOLS ASIC PCI 1998/12/18 (Revision 1.6)

2 Revision 1.6 PCI Revision 1.2 Revision BusError. 2. AD, nc BE, PAR, ADenb, C BEenb, PARenb.,,,. Revision 1.3 Revision PCI RST# input nrst. 2. Ready, iaccess. 3. ReadMem, ReadMemLine, ReadConfig, ReadMemReq, ReadMemLineReq, ReadConfigReq 2, Be. 4.. pci.h PCI.h HostBridge bridge 5.,.,,. 6. ReadConfigReq, WriteConfigReq., PCI,. 7.,., ReadConfig, WriteConfig.,., bridge PCI ( 3 (2) PCIb), PCI (, PCIb )., PCI,, ( 3 ) PCI , PCI,,. 9. IDSEL, FRAME# 1,., IDSEL,. Revision 1.4 Revision bidirect Adr, Be, Data, AD, nc BE, PAR input AdrIn, output AdrOut., bidirect. s/t/s t/s,. 2. ReadConfigReq, WriteConfigReq. ReadMemReq,. Revision 1.5 Revision nreq output nreqout, instrout REQenb., REQ#, RST# PCI. Copyright c : NTT (nagami@exa.onlab.ntt.co.jp) 2

3 PCI Revision iaccess PCI PCI A 15 B 16 C SFL (PCI.h) 21 3

4 Revision 1.6 PCI, ASIC, LIBRARY&TOOLS ASIC, PCI. PCI, PCI Revision 2.1,. PCI., PCI, [3, 4, 6, 9 12].,, PCI, PCI ( 1). PCI,,.,,,., PCI,.,, ( iaccess ), PCI, PCI,.,. 1 3 (1). dlx DLX [2] CPU,. CPU, iaccess., system1 SFL,., SECONDS SECONDS., 3 (2). CPU, PCI PCI. PCIbus,,., bridge PCIb., CPU iaccess, PCI PCI ( ipci ), PCI. bridge, PCI. system2., PCI SFL. 3, 2 PCI ( ), 1., 2 PCI., PCI, system1 system2. 1 PCIb ( ), 4

5 PCI Revision 1.6 PCI.,, PCIb. 3 (1), (2), system1, system2,., PCI, CPU PCI, system1, system2., PCI [7] SFL PCI, PCI.h ( )., 4.,.,, SECONDS.,, n ( : PCIrdy, nframeout)., PCI,. 2.2, AD, FRAME#,, 3 (*, PCI #. n ). input n*in,. output n*out,. instrout *enb: instr arg *enb(n*out),., output n*out., FRAME# input nframein, output nframeout, instrout FRAMEenb, instr arg FRAMEenb(nFRAMEout)., 2., PCI /, SFL. FRAME#. 5

6 Revision 1.6 PCI module PCI {... FRAMEenb(0b0); /* */... FRAMEenb(0b1); /* */... nframeout = 0b0; /* N.G. */... R := nframein; /* */ },, 3 PCIbus, PCI *enb, PCIbus n*in iaccess, PCI, iaccess. instrout Ready,, 1( High).,, ReadMem, ReadMemLine, WriteMem, ReadIO, WriteIO, ReadConfig, WriteConfig. instrout Reset. instrout BusError. PCI, input AdrIn<32>, output AdrOut<32> iaccess ( I/O ). AdrIn PCI (iaccess ) AdrOut iaccess. input BeIn<4>, output BeOut<4>,. BeIn PCI (iaccess ) BeOut iaccess. (Be(In, Out)<3> Data(In, Out)<31:24>) (Be(In, Out)<2> Data(In, Out)<23:16>) (Be(In, Out)<1> Data(In, Out)<15:8>) (Be(In, Out)<0> Data(In, Out)<7:0>) 6

7 PCI Revision 1.6, Be(In, Out) 1,. input DataIn<32>, output DataOut<32>. DataIn iaccess DataOut iaccess. instrin ReadMem(AdrIn, BeIn) iaccess, 1. ( 2 00)., ReadDone, DataOut., AccessError. instrin ReadMemLine(AdrIn, BeIn) iaccess, 1., ( PCI ),. AdrIn,,., AdrIn, AdrIn + 1,..., ( ), ( ),..., AdrIn -1,., ReadDone, DataOut., ReadMemLine, ( ) ReadDone., AccessError. instrin WriteMem(AdrIn, BeIn, DataIn) iaccess, 1. ( 2 00),,. WriteDone,., AccessError. instrin ReadIO(AdrIn, BeIn) iaccess, 1 I/O. AdrIn, I/O I/O. BeIn, AdrIn, AdrIn<1:0>, BeIn 1., AdrIn 4, AdrIn 2 00, BeIn 1., ReadDone DataOut., BeIn 0., AccessError. instrin WriteIO(AdrIn, BeIn, DataIn) iaccess, 1 I/O. AdrIn, I/O I/O, BeIn, DataIn. 7

8 Revision 1.6 PCI AdrIn<1:0> BeIn<3:0> : 0, 1 1: I/O BeIn AdrIn, BeIn, ReadIO. WriteDone, AccessError. instrin ReadConfig(AdrIn, BeIn), PCI. AdrIn,., ReadDone, DataOut., AccessError.,,. 1. n (0 n 20) IDSEL, AD (11 + n). ADout, AdrIn. 2. IDSEL, 2., 1 ADout. instrin WriteConfig(AdrIn, BeIn, DataIn), PCI. AdrIn,. WriteDone, AccessError.,, ReadConfig. instrout ReadDone(DataOut) ReadMem, ReadMemLine, ReadIO, ReadConfig,. DataOut. instrout WriteDone() WriteMem, WriteIO, WriteConfig,. instrout AccessError() ReadMem, ReadMemLine, ReadIO, ReadConfig, WriteMem, WriteIO, WriteConfig,. 8

9 PCI Revision 1.6 instrout ReadMemReq(AdrOut, BeOut) iaccess. AdrOut,. iaccess, ReadReqDone DataIn., ReqError. instrout ReadMemLineReq(AdrOut, BeOut) iaccess, 1. Adr,,.., ReadReqDone, 1 DataIn., ReqError. instrout WriteMemReq(AdrOut, BeOut, DataOut) iaccess,. AdrOut. BeOut, DataOut WriteMem., WriteReqDone, ReqError. instrout ReadIOReq(AdrOut, BeOut) iaccess I/O. AdrOut I/O. BeOut, ReadIO., ReadReqDone DataIn., ReqError. instrout WriteIOReq(AdrOut, BeOut, DataOut) iaccess, I/O. AdrOut I/O. BeOut, DataOut WriteIO., WriteReqDone, ReqError. instrin ReadReqDone(DataIn) ReadMemReq, ReadMemLineReq, ReadIOReq,. DataIn. instrin WriteReqDone(). WriteMemReq, WriteIOReq, instrin ReqError() ReadMemReq, ReadMemLineReq, ReadIOReq, WriteMemReq, WriteIOReq,. iaccess, 5, 6, 7., =4.,,,., 1, 1,. 9

10 Revision 1.6 PCI, AccessError, ReqError, AccessError, ReqError,. 1., ReadMem, ReadMemLine, WriteMem, ReadIO, WriteIO, ReadConfig, WriteConfig,.., iaccess,., iaccess iaccess PCI, PCI.,, 3., PCI, SERR#. input nrst<32> PCI nrst#. input ADin<32>, output ADout<32>, instrout ADenb(ADout) PCI AD#. PCI,,,. ADout, ADenb. input nc BEin<4>, output nc BEout<4>, instrout C BEenb(nC BEout) PCI C/BE#.,. nc BEout, C BEenb. input PARin, output PARout, instrout PARenb(PARout) PCI PAR., ADout, ADout<32>, nc BE<4> 36,, 1 PARout. PARout, PARenb. input nframein, output nframeout, instrout FRAMEenb PCI FRAME#..,. input nirdyin, output nirdyout, instrout IRDYenb PCI IRDY#.. input ntrdyin, output ntrdyout, instrout TRDYenb PCI TRDY#.. input nstopin, output nstopout, instrout STOPenb PCI STOP#.,. 10

11 PCI Revision 1.6 instrin IDSEL PCI IDSEL.. input ndevselin, output ndevselout, instrout DEVSELenb PCI DEVSEL#.,. output nreqout, instrout REQenb. PCI REQ#., output ngnt PCI GNT#.,. input nperrin, output nperrout, instrout PERRenb PCI PERR#. AD, nc BE. instrout SERRenb PCI SERR#.. 3 PCI PCI, PCI,. 3.1 PCI, C/BE# I/O 0010 I/O , ( ),,. ( ),.. AD, PAR 11

12 Revision 1.6 PCI.., (ADin<1:0> 0b00) (ADin<1:0> 0b01). 3.2,,,,,, 3.3 REQ#, GNT#, SDONE, SBO#, INTA#, INTB#, INTC#, INTD#, PERR#., SERR#, PCI

13 PCI Revision IDSEL system2 SFL, IDSEL, AD.,,, IDSEL. (ReadConfig, WriteConfig ). 3.9 PCI, 256.,,.,,.,,. 4,. 1. PCI SFL PCI SFL PCI.sfl (ReadConfig, WriteConfig ), SFL PCIb SFL PCIb.sfl.. 3. system1, system2, PCI [8] Web. PCI.sfl ( PCIb.sfl ),. PCI.sfl. system1 system2,,, system1 system2 (,,, [7] ). 2,, ASIC, LIBRARY&TOOLS 13

14 Revision 1.6 PCI 4.,,., 3.,. 5 ASIC, LIBRARY&TOOLS, ( ) FAX ,, URL, ASIC, LIBRARY&TOOLS.,,. [1] Parthenon web. [2] David A. Patterson, John L. Hennessy,,, ( ) BP, 1, ISBN [3] Tom Shanley and Don Anderson. PCI System Architecture. PC System Architecture. Addison Wesley, third edition, ISBN [4] Edward Solari and George Willse. PCI Hardware and Software. Annabooks, third edition, ISBN , [5]. [5] Edward Solari, GeorgeWillse( ), Norman Rasmussen, Brad Hosler, WilliamSamaras( ), ( ) ( ). PCI &. ( ), 3, ISBN [6]. PCI ( 15 )., [7]. PCI. (PARTHENON Web [1] ), [8]. PCI. (PARTHENON Web [1] ), [9]. PCI, No. 7 in OpenDesign. CQ, ISBN [10],,,,,,. PCI/CompactPCI. Interface, pp , [11]. PCI ( )., [12],,,,,,,.! PCI &. Interface, pp ,

15 PCI Revision 1.6 A,,. 1 =8, 1 =2, 1 =2. CPU, memory, PCI, PCI., iaccess PCI., PCI, PCI, PCI. iaccess, iaccess iaccess, iaccess, iaccess iaccess. ( ) PCI,. {, I/O, }, {, I/O, } PCI, I/O., I/O., PCI, I/O., I/O. I/O, I/O,.,., PCI,,

16 Revision 1.6 PCI..,,, B PCI device function PCI handling to be designed PCI device function iaccess PCI to be designed library PCI protocol PCI protocol 1: PCI PCI FRAMEenb nframeout FRAME# nframein 2: 16

17 PCI Revision 1.6 system 1 dlxc (1) dlx cache memory iaccess iaccess local bus system 2 bridge dlxc dlx cache (2) memory iaccess PCIb iaccess PCI iaccess PCI ipci ipci ipci PCIbus Arbiter 3: 17

18 Revision 1.6 PCI SERRenb * * * bit width data uni. bi. direction type control FRAME# IRDY# TRDY# STOP# DEVSEL# Slave Access Master Access nframein nframeout FRAMEenb nirdyin nirdyout IRDYenb ntrdyin ntrdyout TRDYenb nstopin nstopout STOPenb IDSEL ndevselin ndevselout DEVSELenb ReadMem WriteMem ReadIO WriteIO ReadConfig WriteConfig ReadDone WriteDone AccessError ReadMemReq ReadMemLineReq WriteMemReq ReadIOReq WriteIOReq ReadReqDone WriteReqDone ReqErrror ReadMemLine IDSEL iaccess ipci DataIn DataOut 4 4 BeOut BeIn AdrIn AdrOut AD C/BE# PAR PARenb PARout PARin C_BEenb nc_beout nc_bein ADenb ADout ADin nrst RST# Ready Reset BusError SERR# PERR# GNT# nreqout REQenb REQ# ngnt nperrin nperrout PERRenb 4: 18

19 PCI Revision 1.6 Read Access clock Read* AdrIn BeIn ReadDone DataOut Read*Req iaccess master iaccess slave AdrOut BeOut ReadReqDone DataIn 5: iaccess : 19

20 Revision 1.6 PCI Write Access clock Write* AdrIn BeIn DataIn WriteDone Write**Req iaccess master iaccess slave AdrOut BeOut DataOut WriteReqDone 6: iaccess : Read Line Access clock ReadLine AdrIn ReadDone DataOut ReadLineReq iaccess master iaccess slave AdrOut ReadReqDone DataIn 7: iaccess : 20

21 PCI Revision 1.6 C SFL (PCI.h) 1 2 declare PCI { /* $Source: /home/nagami/src/master/dlx/pci.h,v $ 3 4 ** $Author: nagami $ ** $Name: $ 5 6 ** $Date: 1998/11/23 05:15:41 $ ** $Revision: 1.4 $ 7 8 ** $State: Exp $ */ 9 10 /**********************************++**********************************/ /* Access Interface */ /**********************************++**********************************/ /* Control signals */ instrout Ready; instrout Reset; instrout BusError; /* Data access signals */ input AdrIn<32>; output AdrOut<32>; 19 input BeIn<4>; output BeOut<4>; input DataIn<32>; output DataOut<32>; instrin ReadMem; instrin ReadMemLine; instrin WriteMem; instrin ReadIO; instrin WriteIO; instrin ReadConfig; instrin WriteConfig; instrout ReadDone; instrout WriteDone; instrout AccessError; instrout ReadMemReq; instrout ReadMemLineReq; instrout WriteMemReq; instrout ReadIOReq; instrout WriteIOReq; instrin ReadReqDone; instrin WriteReqDone; instrin ReqError; /**********************************++**********************************/ /* PCI interface */ /**********************************++**********************************/ /* System pins */ input nrst; /* Address and data pins */ input ADin<32>; output ADout<32>; instrout ADenb; input nc_bein<4>; output nc_beout<4>; instrout C_BEenb; input PARin; output PARout; instrout PARenb; /* Interface control pins */ input nframein; output nframeout; instrout FRAMEenb; input nirdyin; input ntrdyin; output nirdyout; output ntrdyout; instrout IRDYenb; instrout TRDYenb; input nstopin; instrin IDSEL; output nstopout; instrout STOPenb; input ndevselin; output ndevselout; output nreqout; instrout DEVSELenb; instrout REQenb; input ngnt; input nperrin; output nperrout; instrout PERRenb; 62 instrout SERRenb; 21

22 Revision 1.6 PCI /**********************************++**********************************/ /* Instruct Arguments */ /**********************************++**********************************/ instr_arg ReadMem (AdrIn, BeIn); instr_arg ReadMemLine(AdrIn, BeIn); instr_arg WriteMem instr_arg ReadIO (AdrIn, BeIn, DataIn); (AdrIn, BeIn); instr_arg WriteIO (AdrIn, BeIn, DataIn); instr_arg ReadConfig (AdrIn, BeIn); instr_arg WriteConfig(AdrIn, BeIn, DataIn); instr_arg ReadReqDone(DataIn); instr_arg WriteReqDone(); instr_arg ReqError(); instr_arg IDSEL(); /* In your PCI module, you should declare these instr_arg s. */ /* instr_arg Ready(); instr_arg Reset(); instr_arg BusError(); instr_arg ReadDone(DataOut); instr_arg WriteDone(); instr_arg AccessError(); instr_arg ReadMemReq (AdrOut, BeOut); instr_arg ReadMemLineReq(AdrOut, BeOut); instr_arg WriteMemReq instr_arg ReadIOReq (AdrOut, BeOut, DataOut); (AdrOut, BeOut); instr_arg WriteIOReq (AdrOut, BeOut, DataOut); instr_arg ADenb instr_arg C_BEenb (ADout); (nc_beout); instr_arg PARenb (PARout); instr_arg FRAMEenb (nframeout); instr_arg IRDYenb instr_arg TRDYenb (nirdyout); (ntrdyout); instr_arg STOPenb (nstopout); instr_arg DEVSELenb(nDEVSELout); instr_arg REQenb instr_arg PERRenb (nreqout); (nperrout); instr_arg SERRenb */ (); 107 } 22

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

2

2 2 485 1300 1 6 17 18 3 18 18 3 17 () 6 1 2 3 4 1 18 11 27 10001200 705 2 18 12 27 10001230 705 3 19 2 5 10001140 302 5 () 6 280 2 7 ACCESS WEB 8 9 10 11 12 13 14 3 A B C D E 1 Data 13 12 Data 15 9 18 2

More information

7 7

7 7 7 7 w w AmbientTempAlm00 AmbientTempAlm02 AmbientTempAlm07 AmbientTempAlm09 BMC Unsync BMC0 Not Ready BMC1 Not Ready Cor0 +12vAlm 00 Cor0 +12vAlm 02 Cor0 +12vAlm 07 Cor0 +12vAlm 09 Cor0 +2.5vAlm 00 Cor0

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

端 子 図 (BOTTOM View) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 U GND GND HLDAK# HLDRQ# FIRDIN# RTCRST# IRDOUT# POWERON VDD3 GNT0# REQ0# REQ2# PAR CBE0 CBE2 GND GND U T GND TXD RXD JTMS LEDOUT# JTCK JTDI/RMODE

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

DEIM Forum 2012 C2-6 Hadoop Web Hadoop Distributed File System Hadoop I/O I/O Hadoo

DEIM Forum 2012 C2-6 Hadoop Web Hadoop Distributed File System Hadoop I/O I/O Hadoo DEIM Forum 12 C2-6 Hadoop 112-86 2-1-1 E-mail: momo@ogl.is.ocha.ac.jp, oguchi@computer.org Web Hadoop Distributed File System Hadoop I/O I/O Hadoop A Study about the Remote Data Access Control for Hadoop

More information

untitled

untitled 16 4 1 17 1 50 -1- -2- -3- -4- -5- -6- -7- 1 2-8- -9- -10- -11- Web -12- (1) (2)(1) (3) (4) (1)()(2) (3)(4) -13- -14- -15- -16- -17- -18- -19- -20- -21- -22- -23- (2)(1) (3) -24- -25- -26- -27- -28- -29-

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

操作1 <設問作成>

操作1 <設問作成> ORCA (Online Research Control system Architecture) ORCA 1 1 2 3 4 5 6 7 URL 2 [ ] 3 4 5 1. 2. 4 6 2. 4. 3. 5. 7 6. 8.10. 9. 12. 13. 14. 8 2. 4. 3. 5. 6. 8. 7. 9. 9 9. 10 8. 12. 9. 10. 11 6. 8. 7. 8. 6

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

UPD DS

UPD DS お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

21 1 2 1 2

21 1 2 1 2 21 1 2 1 2 1 2 3 ( ) 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 210 0.0 0.0 22 23 25 27 28 29 30 31 32 33 34 35 36 74 pp.4362003.10 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 141224 14 48 10

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2

SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2 2004.2.17 akinori_maeda@agilent.com SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2 SATA PC JBOD 2004/2/17 SATA Overview Page 3

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

untitled

untitled Network Product Guide Network Monitoring System Network Product Guide Time stamp Write to disk Filter Convert Summarise Network Product Guide Network Monitoring System TDS2 TDS24 Network Analysis Report

More information

MAP2496.PDF

MAP2496.PDF Audiophile 2496 24 Bit 96 khz 4 in/ 4 out PCI Digital Recording Interface with MIDI 2 M-AUDIO 3 PCI 1. INS1&2 IN1 IN2 2. OUTS1&2 OUT1 OUT2 3. 15 D-sub S/PDIF MIDI 4. S/PDIF S/PDIF DAT MD A/D S/PDIF 5.

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

main.dvi

main.dvi PC 1 1 [1][2] [3][4] ( ) GPU(Graphics Processing Unit) GPU PC GPU PC ( 2 GPU ) GPU Harris Corner Detector[5] CPU ( ) ( ) CPU GPU 2 3 GPU 4 5 6 7 1 toyohiro@isc.kyutech.ac.jp 45 2 ( ) CPU ( ) ( ) () 2.1

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

HYPE Grap PH_Artwork_P0ATL QSG JP A4_ _Rev.2.indd

HYPE Grap PH_Artwork_P0ATL QSG JP A4_ _Rev.2.indd ULTRAGAIN DIGITAL ADA8200 Audiophile 8 In/8 Out ADAT Audio Interface with MIDAS Mic Preamplifiers 2 ULTRAGAIN DIGITAL ADA8200 3 ¼" TS 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19.

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

EQUIUM EQUIUM 1

EQUIUM EQUIUM 1 EQUIUM EQUIUM 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 8 9 4 1 2 3 5 1 2 3 1 2 3 4 5 6 7 6 1 3 7 1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 4 5 6 7 8 9 10 1 1 2 3 4 12 1 13 14 1 15 16 1 17 18 1 1 1 19 1 2 20 3 1

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

Multi Format Switcher System MVS-6500 System (With ICP-6520/6530 Control Panel) MVS-6520 MVS-6530 ICP-6520 ICP-6530 ICP-6511 MKS-6550 MKS-6570 MVS-650

Multi Format Switcher System MVS-6500 System (With ICP-6520/6530 Control Panel) MVS-6520 MVS-6530 ICP-6520 ICP-6530 ICP-6511 MKS-6550 MKS-6570 MVS-650 Multi Format Switcher System MVS-6500 System (With ICP-6520/6530 Control Panel) MVS-6520 MVS-6530 ICP-6520 ICP-6530 ICP-6511 MKS-6550 MKS-6570 MVS-6500 System (SY) 4-448-461-01 (1) Sony Corporation Printed

More information

RT300i/RT140x/RT105i 取扱説明書

RT300i/RT140x/RT105i 取扱説明書 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Typewriter face RT105i RT300i RT140p RT140f RT140i RT140e RT105i RT300i 11 RARP 9600 bit/s 8 http://www.rtpro.yamaha.co.jp/ ftp.rtpro.yamaha.co.jp 12

More information

delta_1010ai.PDF

delta_1010ai.PDF Delta1010-AI ADAT Interface Module for the Delta 1010. 2 M-AUDIO 3 ADAT TO HOST ENABLED LED ADAT TO HOST ADAT TO HOST ADAT TO HOST Delta 1010-AI ADAT Delta 1010 PCI ADAT TO ANALOG OUTS ENABLED LED ADAT

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

SystemC言語概論

SystemC言語概論 SystemC CPU S/W 2004/01/29 4 SystemC 1 SystemC 2.0.1 CPU S/W 3 ISS SystemC Co-Simulation 2004/01/29 4 SystemC 2 ISS SystemC Co-Simulation GenericCPU_Base ( ) GenericCPU_ISS GenericCPU_Prog GenericCPU_CoSim

More information

RT300/140/105シリーズ 取扱説明書

RT300/140/105シリーズ 取扱説明書 REMOTE & BROADBAND ROUTER RT300i/RT140p/RT140f/RT140i RT140e/RT105p/RT105i/RT105e 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Console RT105i RT300i RT140p RT140f RT140i RT140e RT105p RT105i RT105e

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

SERVIS Multi

SERVIS Multi ... 2... 2... 3... 5... 6... 9... 10... 13... 21... 22... 22... 22!... 25... 29 1 2 3 4 5 6 7 8 9 10 USB (Mini D-Sub 15Pin) PS/2 USB PS/2 USB 11 2 1 USB 12 13 14 15 b. 16 17 18 19 20 21 22 23 24 25 (SUN

More information

book-review.dvi

book-review.dvi 2005 4 Version 0.9α.,.,.,,.,,,.,,.,,,, C,.,. ISBN ISBN,., WEB. 1 [1] ISBN 4-00-116340-3.,,. [2] L. Goldschlager and A. Lister ( ),, 1987 2,600 + ISBN 4-7649-0284-2,,,.,. [3] 1998 2,700 + ISBN 4-88552-149-1..

More information

HXテクニカルマニュアル

HXテクニカルマニュアル UNI-WIRE HX 1.1 i UNI-WIRE AnyWire ii iii 1...4 11 UNI-WIRE HX... 4 12... 5 UNI-WIRE HX...5...5...5 13 UNI-WIRE HX... 7...7...9...9...9...10...10 14 UNI-WIRE HX... 11...11...11...12...13...13...17 15 I/O...

More information

main.dvi

main.dvi D-RMTP II IO Companion Chip Ver. 1.00 26 10 14 1 1 Abstract 3 2 Pin Assignment 5 3 Instruction 13 3.1............................................... 13 3.2.............................................

More information

untitled

untitled 2004.11.18 1 3C everywhere Aoyama Morikawa Laboratory 2 3C Everywhere Computing everywhere PDA, Contents everywhere XMLmp3 1 terabyte 1 zettabyte (10 21 ) Connectivity everywhere 2G/3G/4GLAN DSL, DSRC,

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

9BBH3A8_P0000

9BBH3A8_P0000 02 Yamaha CSR Report 2007 03 Yamaha CSR Report 2007 04 Yamaha CSR Report 2007 Yamaha CSR Report 2007 05 06 Yamaha CSR Report 2007 Yamaha CSR Report 2007 07 08 Yamaha CSR Report 2007 09 Yamaha CSR Report

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

ma_sk_3302_jp

ma_sk_3302_jp 1 4 1.1............... 4 1. CE.............................. 4 1.3................. 4 1.4........................ 4 4 3 5 3.1........................... 5 3.1.1............................. 5 3.1...........................

More information

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション Version2.0(2009.2.6) Copyright 2008 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Kontakttechnik GmbH Hansastraße 27 D-32423 Minden Phone: +49 (0) 571/8 87 0 Fax: +49 (0) 571/8 87 1 69 E-Mail:

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

1 105 2 4 50 3 ISBN 4 25 2013 1 ISBN 5 128p ISBN978-4-8340-0013-9 ISBN 2

1 105 2 4 50 3 ISBN 4 25 2013 1 ISBN 5 128p ISBN978-4-8340-0013-9 ISBN 2 1 2 39 3 14 13 16 17 36 21 30 32 1 1 105 2 4 50 3 ISBN 4 25 2013 1 ISBN 5 128p ISBN978-4-8340-0013-9 ISBN 2 39 32p ISBN978-4-251-00517-5 62p ISBN978-4-00-110579-7 1 33p ISBN978-4-477-01141-7 3 32p ISBN978-4-591-01270-3

More information

ISBN 0 00 00 00 0 0 ISBN 0 0 ISBN---.000-0

ISBN 0 00 00 00 0 0 ISBN 0 0 ISBN---.000-0 ISBN 0 00 00 00 0 0 ISBN 0 0 ISBN---.000-0 0 ISBN 0 00 00 00 0 0 ISBN 0 0 ISBN---.000-0 ISBN 0 00 00 00 0 0 ISBN 0 0 ISBN---.000-0 ISBN 0 00 00 00 0 0 ISBN 0 0 ISBN---.000-0 ISBN 0 00 00 00 0 0 ISBN 0

More information

0 00 000 000 ISBN 0 0 0 ISBN 0 0 0 ISBN---.00-

0 00 000 000 ISBN 0 0 0 ISBN 0 0 0 ISBN---.00- 0 0 0 --- -0--0-- 00 0 00-0 0 0 0 0 000-00- 0 00 000 000 ISBN 0 0 0 ISBN 0 0 0 ISBN---.00- 0 00 000 000 ISBN 0 0 0 ISBN 0 0 0 ISBN---.00- ISBN 0 0 0 ISBN 0 0 0 0 00 000 000 ISBN---.00- 0 00 000 000 ISBN

More information

496

496 495 496 497 498 499 500 501 502 503 504 505 506 507 508 509 510 511 512 513 514 515 516 517 518 519 520 521 522 523 524 525 526 527 528 529 530 531 532 533 534 535 536 537 538 539 540 541 ISBN4-258-17041-0

More information

(()) () ( ) () () () () () () ( ) () () () () () () () () () () () () ( ) () ( ) () () () ( ) () () () () () ( ) () () () () ( ) () ( ) () () ( ) () ( ) () () () () () () () () () () () () () () () ()

More information

() () () () ( ) () () () () () () () () () () () () () () () () () () () () () () ( () () () () () () () () () () () () ) () ( ) () () ( ) () () () () () () () () () () () () () () () () () () () ()()

More information

untitled

untitled Corporate Development Division Semiconductor Company Matsushita Electric Industrial Co.,Ltd. http://www.panasonic.co.jp/semicon/ DebugFactory Builder for MN101C PanaX IDE IBM PC/AT CPU Intel Pentium 450MHz

More information

CANON_IT_catalog_201709

CANON_IT_catalog_201709 Image processing products Hardware /Software Image processing products Hardware /Software INDEX P7 MatroxRadient Pro CL P8P17 MatroxRadient ev-cxp MatroxRadient ev-cl MatroxSolios MatroxSolios MatroxSolios

More information

dp0206_j

dp0206_j 1 2 7 8 9 4 5 6 3 10 11 12 13 20 19 18 16 17 15 14 CONTROL AUDIO 2 AUDIO 1 CN2 CN1 CN20 CN15 1 2 3 4 H C E (E) 1 2 3 E C H 1 2 3 4 C 8 7 6 5 6 7 8 9 5 4 3 2 1 E A B SIGNAL/

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書 C120-E285-10Z2 FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 - for Oracle Solaris - () FUJITSU ULTRA LVD SCSI Host Bus Adapter 3.0 SCSI/SAS SCSI/SAS HBA(Host Bus Adapter) WARNING:

More information

Delta44.PDF

Delta44.PDF Delta44 PCI Analog Recording Interface 2 M-AUDIO 3 Break-Out Box Front Panel: 1. Analog OUTS 1 4 / 2. INS - / Break-Out Box Back Panel: 3. PCI 4. 15 D-sub PCI Delta44 1. Delta44 2. Windows Delta44 WINDOWS

More information

CANON_IT_catalog_1612

CANON_IT_catalog_1612 Image processing products Hardware /Software MatroxRadient Pro CL 7 HDR-26 HDR-26 Data Clock CC [4] UART Data Clock CC [4] UART Camera Link Interface w/ PoCL Camera Link Interface w/ PoCL Image Reconstruction

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

DV-RA1000HD_Jpn(b)

DV-RA1000HD_Jpn(b) » D00927901A DV-RA1000HD High Definition Audio Master Recorder á á á è ì í ì ì í í ó è í è í í 2 TASCAM DV-RA1000HD ì ó ó ó ó á í ó ì ì í í ì ó í TASCAM DV-RA1000HD 3 4 TASCAM DV-RA1000HD TASCAM DV-RA1000HD

More information

microSTATION Operation guide

microSTATION Operation guide J 2 - ii iii iv 1 1 2 4 7 8 9 10 11 1 5 6 3 2 10 15 9 11 12 13 14 3 7 6 5 4 3 2 1 4 5 PROGRAM OSC 1 MS1 (Multisample) Drum Kit MS2 (Multisample) MS3 (Multisample) Insert / Master / Total Effect IFX 1 MFX

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

102

102 5 102 5 103 q w 104 e r t y 5 u 105 q w e r t y u i 106 o!0 io!1 io q w e r t y 5 u 107 i o 108 q w e q w e r 5 109 q w 110 e r t 5 y 111 q w e r t y u 112 i q w e r 5 113 q w e 114 r t 5 115 q w e 116

More information

Complex Lab – Operating Systems - Graphical Console

Complex Lab – Operating Systems - Graphical Console Complex Lab Operating Systems Graphical Console Martin Küttler Last assignment Any questions? Any bug reports, whishes, etc.? 1 / 13 We are here Pong Server Paddle Client 1 Paddle Client 2 Memory Management

More information

5 1 2 3 4 5 6 7 8 9 10 1 Quick Boot 1st Boot Device 2nd Boot Device 3rd Boot Device Network Boot Initial Display Mode S.M.A.R.T. for Hard Disks BootUp Num-Lock Password Check CPU Serial Number System BIOS

More information

UA-700_j

UA-700_j 2 3 4 5 6 7 8 9 10 11 ON OFF 12 13 1 2 1. 2. 3. 3 4 5 14 6 7 8 9 10 11 1. 2. 3. 15 12 ON 13 14 15 16 16 1. 2. 1. 2. 3. 17 18 17 1. 2. 19 20 18 1. 2. 3. 4. 5. 6. 7. 19 1 2 3 4 5 6 20 7 8 9 10 11 12 1. 2.

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

JIIAセミナー

JIIAセミナー Digital Interface IIDC URL teli.co.jp/ E-Mail http://www.toshiba-teli.co.jp teli.co.jp/ s-itokawa@toshiba-teli.co.jpteli.co.jp EIA,NTSC EIA,NTSC 4-5 JIIA JIIA - / Digital Interface Digital Interface IEEE1394

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

Çϵå¿þ¾î ¼³Ä¡ ¹× ±³Ã¼ ¼³¸í¼�

Çϵå¿þ¾î ¼³Ä¡ ¹× ±³Ã¼ ¼³¸í¼� ThinkCentre ThinkCentre ! 47. (2007 6 ) Copyright Lenovo 2005, 2007. Portions Copyright International Business Machines Corporation 2005. All rights reserved. ................. v 1.......... 1 2..............

More information

DVD-±R/RWユニット ハードウェアマニュアル

DVD-±R/RWユニット ハードウェアマニュアル LDR-CA160U2_HARD V01 USB 2.0 DVD R/RW LDR-CA160U2... 4... 5... 7... 9... 10... 14... 16... 18... 19... 24... 25... 27 DOS/VOADG(*1)DOS/V (*1)OADG PC (OADG URL http://www.oadg.or.jp/) - 1 - DVD R/RW DVD

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information