EH意見交換会_ishihara.pptx

Size: px
Start display at page:

Download "EH意見交換会_ishihara.pptx"

Transcription

1 2 44 2! " "! " "! 1 2! " "! " "! 3!? " MPEG4 " 15% Hojun Shim, Youngjin Cho and Naehyuck Chang, "Power Saving in Hand-held Multimedia Systems Using MPEG-21 Digital Item Adaptation," in ESTIMedia, 2004! " " 25% 15% 8% 10% 26% 16% CPU Memory Frame Buffer LCD LCD Backlight converter % 4 Data center energy Benchmarking Case Study, LBNL 5 W) 100$ 80$ 60$ 40$ 20$ 0$ AC/DC$ 70$ DC/AC$ UPS PDU DC Power for Improved Data Center Efficiency, LBNL 15$ 20$ UPS+PDU$ PSU$ VRs$ 208/120 AC/DC$ PSU 50$ DC/DC$ 20$ 12Vdc VRs 5.0V DC/DC DC/DC DC/DC 100$ 3.3V CPU, Memory 6

2 /. /. rktf /. /. rktf! " "! v " " " input output Efficiency (a) (b) Power Efficiency (%) 50 Power (W) Vout V out (V) 2 2 Vin (V) Vout V out (V) 2 2 Vin V (V) Image Credit: Prof. Naehyuck Chang of SNU and his group 7 Power Loss (1.0 Efficiency) P input TI TPS63030 datasheet. 8 V in /. /. rktf! " s # ue " cehy # LR I in R sw1 R sw4 R L L f Q sw1 Q sw4 Capacitor Inductor Buck R Boost R C sw2 R sw3 controller controller Q sw2 Q sw3 W. Lee, Y. Wang, D. Shin, N. Chang, and M. Pedram, Power Conversion Efficiency Characterization and Optimization for Smartphones, in Proc. of ISLPED, pp , Aug., I out V out Image Credit: Prof. Naehyuck Chang of SNU and his group j teipt! Ruk ho! wj teipt " etlt nlcf ota " 0 k ho "! eipt " 12@ @ # s " ota # etlt nlcf% D. Shin, et al., Battery-Supercapacitor Hybrid System for High-Rate Pulsed Load Apprications, in proc. DATE, pp.1-4, March., Hybrid Energy Storage System (1/3) # " # " # " M. Pedram, et al., Hybrid Electrical Energy Storage Systems, in proc. ISLPED, pp , Aug., Power source Hybrid Energy Storage System (2/3) Charger Charger Charger Super capacitor bank Li-ion battery bank Lead-acid battery bank Converter Converter Converter load M. Pedram, et al., Hybrid Electrical Energy Storage Systems, in proc. ISLPED, pp , Aug.,

3 Hybrid Energy Storage System (3/3) # " # " Charge Migration " Charge Allocation " Charge Replacement Y. Wang, et al. "Charge migration efficiency optimization in hybrid electrical energy storage (HEES) systems," in proc. ISLPED, pp , August, Y. Wang, et al. "Charge allocation for hybrid electrical energy storage systems," in proc. CODES+ISSS, pp , October, Q. Xie, et al. "Charge Replacement in Hybrid Electrical Energy Storage Systems," in proc. ASP-DAC, pp , January, HESS # 10% 50% # # " " 11 13% S. Bandyopadhyay, A. P. Chandrakasan. "Platform Architecture for Solar, Thermal, and Vibration Energy Combining With MPPT and Single Inductor," JSSCC, pp , September, Maximum Power Point Tracking!! Max. Power Transfer Tracking (1/4)! MPTT " MPPT Image Credit: Prof. Naehyuck Chang of SNU and his group MPPT 15 R. Jain, et al., Conductance Modulation Techniques in Switched-Capacitor onverter for Maximum-Efficiency Tracking and Ripple Mitigation in 22nm Tri-gate CMOS, CICC, Sept., Max. Power Transfer Tracking (2/4)! " MPPT " Image Credit: Prof. Naehyuck Chang of SNU and his group Y. Kim, et al., Maximum Power Transfer Tracking for a Photovoltaic-Supercapacitor Energy System," in proc. ISLPED, pp , August, Max. Power Transfer Tracking (3/4)! MPPT MPTT Tracking method MPTT MPPT MPTT MPPT Supercap. Capacitance (F) Final supercap. voltage (V) Final sueprcap. Energy (J) Energy ratio to the optimum (%) 2,378 2,378 23, , k 93k 59k 14k 102k 96k 77k 16k Data provided by Prof. Naehyuck Chang of SNU and his group 18

4 Max. Power Transfer Tracking (4/4)! MPPT! MPTT! MPTT! MPTT! " "! " "! /. /. rktf~! v " " " input output! " Power Loss (1.0 Efficiency) P input A B C TI TPS63030 datasheet CPU 1.0V 3.3V 5.0V K. Lee, T. Ishihara, A Dynamic Reconfiguration Technique for PV and Capacitor Arrays to Improve the Efficiency in Energy Harvesting Embedded Systems, in Proc. of International Conference on Smart Grids and Green IT Systems, pp , April, /2! " 3 " 124 Configurable array for PV cells PV(m,n) Energy storage (4,1): 0.5V, 320mA output (2,2): 1V, 160mA output (1,4): 2V, 80mA output M. Uno, Series-parallel reconfiguration technique for supercapacitor energy storage systems, in Proc. of TENCON, Y. Kim, et al. Balanced Reconfiguration of Storage Banks in a Hybrid Electrical Energy Storage System, in Proc. of ICCAD, pp , November PV(m,n) Charger Supercapacitor Configurable array for supercapacitors 24

5 2/2! " OR 3!!! Good Harvest! " Good Harvest! Control the charging current Control the charging current 27 28! " Bad Harvest! " Control the charging current Control the output voltage 29 30

6 ! " : 1.2V 100mA " : 3.3V 30mA " RF Amp: 5.0V 100mA 10 1/2! " 100mA 30mA 1mA " 100%20% The lowest power loss configuration Charg. CPU RF$Amp. Mem /2! I/O! A B C Baseline MPPT (3,2,1,12) 33 CPU 1.0V 3.3V 5.0V K. Lee, T. Ishihara, I/O Aware Task Scheduling for Energy Harvesting Embedded Systems with PV and Capacitor Arrays, in Proc. of IEEE Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia 2012), pp , October, ! " CPUI/O "! " 1 st phase 35 2 nd phase 36

7 % 25% loss_conv loss_char loss_p loss_mod loss_cam proc. mod. State of Charge of supercapacitor 37! " # " MPTT: Maximum Power Transfer Tracking " #! " # 10% 50% " MPTT: Maximum Power Transfer Tracking # MPPT5% 85% " # 70% 38! " "! " "! 39! " " 40! " " Running cost () "! " " DVFS " # 41! " "! " "! " " 42

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

LM150/LM350A/LM350 3A 可変型レギュレータ

LM150/LM350A/LM350 3A 可変型レギュレータ LM150,LM350,LM350A LM150/LM350A/LM350 3-Amp Adjustable Regulators Literature Number: JAJSBC0 LM350A/LM350 3A LM350 1.2V 33V 3A 3 IC 2 & IC ADJ 6 ADJ LM350 100V ADJ LM350 ADJ 1.2V 3A LM350A 3A LM350 3A

More information

Keysight Technologies スイッチング電源の測定

Keysight Technologies スイッチング電源の測定 Keysight Technologies Application Note Keysight InfiniiVision 3000T/4000 X Keysight 3000T 4000 X Switching Mode Power Supply SMPS (DUT) SMPS Keysight InfiniiVision 3000T 4000 X DSOX3PWR DSOX4PWR Power

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

GOVERNOR'S No.1 JULY 2 GOVERNOR'S No.1 JULY 3 GOVERNOR'S No.1 JULY 4 GOVERNOR'S No.1 JULY 5 GOVERNOR'S No.1 JULY 6 GOVERNOR'S No.1 JULY 7 GOVERNOR'S No.1 JULY 8 GOVERNOR'S No.1 JULY 9 GOVERNOR'S No.1 JULY

More information

2 1) 2) 3) 4) 5) 6) Development of Second Generation Wireless In-Wheel Motor with Dynamic Wireless Power Transfer Hiroshi Fujimoto Takuma Takeuchi Kat

2 1) 2) 3) 4) 5) 6) Development of Second Generation Wireless In-Wheel Motor with Dynamic Wireless Power Transfer Hiroshi Fujimoto Takuma Takeuchi Kat 2 1) 2) 3) 4) 5) 6) Development of Second Generation Wireless In-Wheel Motor with Dynamic Wireless Power Transfer Hiroshi Fujimoto Takuma Takeuchi Katsuhiro Hata Takehiro Imura Motoki Sato Daisuke Gunji

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

main.dvi

main.dvi FDTD S A Study on FDTD Analysis based on S-Parameter 18 2 7 04GD168 FDTD FDTD S S FDTD S S S S FDTD FDTD i 1 1 1.1 FDTD.................................... 1 1.2 FDTD..................... 3 2 S 5 2.1 FDTD

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

PA M01 LITEON SPEC Rev A

PA M01 LITEON  SPEC Rev A 規格書 Electrical Specification Model No: PA-1360-5M01 Description: 12V 36W single output AC adapter Revision: A Issued Date: May 21, 2013 Customer Part No.: 90, Chien I Rd, Chung Ho city, Taipei Hsien 235,

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

MORALITY LEARNING AMBITION 2 KASUMIGAOKA

MORALITY LEARNING AMBITION 2 KASUMIGAOKA KASUMIGAOKA MORALITY LEARNING AMBITION 2 KASUMIGAOKA KASUMIGAOKA 3 4 KASUMIGAOKA KASUMIGAOKA 5 Super Science High School 6 KASUMIGAOKA School Life 4 April 5 May 6 June 7 July 8 August 9 September 10 October

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

2 3 4 5 6 7 TORQUE CAL. 8 9 10 11 F1 F2 MICRO STEPPER MOTOR TESTER SMT-2 SMC-2 POWER SENSOR FREQUENCY 12 13 14 15 16 17 18 T=TLoad +J d w d t T TLoad J dw/dt dw T=TLoad +J d w d t / dt0 T=TLoad T T=TLoad

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

FMUP-204取扱説明書

FMUP-204取扱説明書 B6FY441101 Z000 American Power Conversion Corporation SmartUPS PowerChute Schneider Electric Industries S.A.S American Power Conversion Corporation Microsoft Windows Windows Server Microsoft Corporation

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

LM mA 低ドロップアウト・リニア・レギュレータ

LM mA 低ドロップアウト・リニア・レギュレータ 800mA 800mA LM1117I 800mA LM1117 Chris Russell LM1117 800mA 1.2V LM1117 LM317 LM1117 2 1.25V 13.8V 1.8V 2.5V 2.85V 3.3V 5V 5 LM1117 1 LM1117 LLP TO-263 SOT-223 TO-220 TO-252 10 F 19970801 23900 DS100919

More information

EV Fig.. Contactless power transfer system. (a) Single-sided winding transformer. Fig. 2. Detailed equivalent circuit. x 0, x, x 2 r 0 r, r 2 C P R L

EV Fig.. Contactless power transfer system. (a) Single-sided winding transformer. Fig. 2. Detailed equivalent circuit. x 0, x, x 2 r 0 r, r 2 C P R L D IEEJ Transactions on Industry Applications Vol.32 No. pp.9 6 DOI: 0.54/ieejias.32.9 Novel Core Structure and Iron-loss Modeling for Contactless Power Transfer System of Electric Vehicle Masato Chigira,

More information

美唄市広報メロディー2014年1月号

美唄市広報メロディー2014年1月号 1 2014 E-mailkouhoujouhou@city.bibai.lg.jp January May September October November December February March June July August April BIBAI CITY INFORMATION http://db.net-bibai.co.jp/bibai/

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

LT レール・トゥ・レール電流センス・アンプ

LT レール・トゥ・レール電流センス・アンプ V CC AVG PROG LTMS GND IN IN k % V IN LTC SYNCHRONOUS BUCK REGULATOR I TH INTV CC.k % FB (V BATT.V) TO V V IN LT/ F I BATT TO A SIMPLIFIED SCHEMATIC. SEE FIGURE FOR COMPLETE SCHEMATIC SW µf V µh.ω.m.%

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

LM317A

LM317A 3 3 LM317A 3 LM317 1.2 37V 1.5A 3 IC 2 / IC AC IC 6 3 LM317 3-Terminal Adjustable Regulator LM117 19860710 33200 24060 11800 ds009063 Increase the print percent on all Typical Curves modified formatting

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

AND9041JP - NCL30051を使用した高効率 LEDドライバ回路の設計

AND9041JP - NCL30051を使用した高効率 LEDドライバ回路の設計 APPLICATION NOTE 1/6 28% LED (High Brightness LED HB LED) (/W) LED 1 LED LED DC AC AC AC LED LED LED LED 100 LED LED AC LED AC PFC 3 LED AC (Constant Current, CC) LEDLED (Constant Voltage, CV) LED 1Figure

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

1 May 2011

1 May 2011 1 May 2011 2 May 2011 3 May 2011 4 May 2011 5 June 2011 6 June 2011 7 June 2011 8 June 2011 9 July 2011 10 July 2011 11 July 2011 12 July 2011 13 August 2011 14 August 2011 15 August 2011 16 August 2011

More information

LM117/LM317A/LM317 可変型3 端子レギュレータ

LM117/LM317A/LM317 可変型3 端子レギュレータ LM117,LM317 LM117/LM317A/LM317 3-Terminal Adjustable Regulator Literature Number: JAJSBC1 LM317A/LM317 3 3 LM317A 3 LM317 1.2 37V 1.5A 3 IC 2 / IC AC IC 6 3 LM317 3-Terminal Adjustable Regulator LM117

More information

研究成果報告書

研究成果報告書 EL EL 17% 1.5 (F. Li, et al. Org. Elect., Vol. 8, 635, 2007 ) (S.Hore, et al., Sol. Energy Mater. Sol. Cells, pp. Vol. 90, 1176, 2006) (C. Haase et al., Proc. of SPIE, Vol. 6645, 66450W, 2007) (T. Fukuda

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

JIS Z803: (substitution method) 3 LCR LCR GPIB

JIS Z803: (substitution method) 3 LCR LCR GPIB LCR NMIJ 003 Agilent 8A 500 ppm JIS Z803:000 50 (substitution method) 3 LCR LCR GPIB Taylor 5 LCR LCR meter (Agilent 8A: Basic accuracy 500 ppm) V D z o I V DUT Z 3 V 3 I A Z V = I V = 0 3 6 V, A LCR meter

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

November 13 June 1 April 23 October 1 December 22 August 6 September 5 July 2 May 2 8 6 11 1 7 01 1516 4 23 4 1995 4 23 1999 4 23 19 2 02 88 5 2 3 03 6 1 6 1 300 4 04 100 7 2 7 2 706 15 2 5 05 8 6 86

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

untitled

untitled ON/OFF 3mA.µF.V.V DSC/DVC U. V.V (.Vstep) 7dB typ. (f=khz Vo=3V ) Vno=µVrms typ..µf (Vo.V) Io(max.)=3mA Vo±.%.V typ. (Io=mA ) ON/OFF SOT-89-3 U. CONTROL. GND 3. N.C.. V OUT. V IN V IN V OUT Control Bandgap

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

INDEX PAGE 1. Evaluation Method 1 1. 測定回路 Measurement Circuits 3 (1) 静特性 待機電力特性 通電ドリフト特性 その他特性 Steady state, Standby power, Warm up voltage drift and

INDEX PAGE 1. Evaluation Method 1 1. 測定回路 Measurement Circuits 3 (1) 静特性 待機電力特性 通電ドリフト特性 その他特性 Steady state, Standby power, Warm up voltage drift and C267 53 1A 1/35 INDEX PAGE 1. Evaluation Method 1 1. 測定回路 Measurement Circuits 3 (1) 静特性 待機電力特性 通電ドリフト特性 その他特性 Steady state, Standby power, Warm up voltage drift and Other characteristics (2) 入力サージ電流 (

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

LM A High Efficiency Synchronous Switching Regulator (jp)

LM A High Efficiency Synchronous Switching Regulator (jp) LM2651 LM2651 1.5A High Efficiency Synchronous Switching Regulator Literature Number: JAJS632 LM2651 1.5A 1.5A High Efficiency Switching Regulator 2651 LM Dongyan Zhou 19980610 LM2651 100:1 (1.5A 15mA)

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

LTC 自己給電絶縁型コンパレータ

LTC 自己給電絶縁型コンパレータ AC 120V TECCOR 4008L4 OR EUIVALENT NEUTRAL 2N2222 HEATER 25Ω 150Ω 1k 1N4004 2.5k 5W 5.6V R1 680k 390Ω 100µF LE 47k C1 0.01µF ZC ZC COMPARISON > R = R O e B (1/T 1/T O ) B = 3807 1µF THERM 30k YSI 44008

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

先進的計算基盤システムシンポジウム SACSIS2012 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/18 CPU, CPU., Memory-bound CPU,., Memory-bo

先進的計算基盤システムシンポジウム SACSIS2012 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/18 CPU, CPU., Memory-bound CPU,., Memory-bo CPU, CPU, Memory-bound CPU,, Memory-bound ( ) Performance Monitoring Counter(PMC), PMC (nmi watchdog), PMC CPU., PMC, CPU, Memory-bound, CPU-bound,, CPU,, PMC,,,, CPU, NPB 8, 5% CPU, CPU, 3%, 5% CPU, IS

More information

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S Overture 68W ( ) 0.1 (THD N) 20Hz 20kHz 4 68W 8 38W SPiKe (Self Peak Instantaneous Temperature ( Ke)) SOA (Safe Operating Area) SPiKe 2.0 V ( ) 92dB (min) SN 0.03 THD N IMD (SMTPE) 0.004 V CC 28V 4 68W

More information

ケインズ『お金の改革論』山形浩生訳 Keynes, A Tract on Monetary Reform, 1923, Japanese translation Hiroo Yamagata 2015

ケインズ『お金の改革論』山形浩生訳 Keynes, A Tract on Monetary Reform, 1923, Japanese translation Hiroo Yamagata 2015 A Tract on Monetary Reform *1 * 2 2014 6 17 *1 *2 c 2014 4.0 (http:// creativecommons.org/licenses/by/4.0/) i J M 1923 10 iii 1 1 1914 22 19 1 13 9 1.1 1914 1920 1920 2 1 1.1 1913 (1) (2) (3) 1913 100

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Speech Visualization System Based on Augmented Reality Yuichiro Nagano 1 and Takashi Yoshino 2 As the spread of the Augmented Reality(AR) technology and service,

More information

LM2831 高周波数動作 1.5A 負荷 降圧型DC/DCレギュレータ

LM2831 高周波数動作 1.5A 負荷 降圧型DC/DCレギュレータ High Frequency 1.5A Load - Step-Down DC-DC Regulator Literature Number: JAJSAH7 1.5A DC/DC 5 SOT23 6 LLP PWM DC/DC DC/DC PCB 0.5 m BiCMOS 1.5A 130m PMOS 30ns 3V 5.5V 0.6V 550 khz 1.6MHz 3.0MHz 93% 30nA

More information

NL-20取扱説明書_操作編

NL-20取扱説明書_操作編 MIC / Preamp A C AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. V ref. AMP 8 AMP 10 DC OUT AC OUT AC DC OUT DATA BUS CPU ADDRESS BUS DSP Start Pause Stop Store Mode Cont

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

FEEL Prod Grap PH_Artwork_P0AVS QSG JP A4_ _Rev.11.indd

FEEL Prod Grap PH_Artwork_P0AVS QSG JP A4_ _Rev.11.indd EUROPORT MPA40BT-PRO/MPA40BT All-in-One Portable 40-Watt PA System with Bluetooth Connectivity, Battery Operation and Transport Handle 2 EUROPORT MPA40BT-PRO/MPA40BT 3 ¼" TS 1. 2. 3. 4. 5. 6. 7. 8. 9.

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射 1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射線技術科 緒言 3D PET/CT Fusion 1 liquid crystal display:

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

PANARAY System Digital Controller STANDBY INPUT METER TPM L -db 40 24 18 12 6 0 O R Stereo Bank 802 PRESET UTILITY LIMITER DELAY PANARAY SYSTEM DIGITAL CONTROLLER CH1/MONO INPUTS CH2 OUTPUTS CH1 CH2

More information

system.pptx

system.pptx 2011/5/11 NAIST CPU CPU 4 (UNIX)# (Windows)#... # (1U, 2U, 4U etc.)# (E-ATX, micro-atx, mini-itx etc.)# # #...# BIOS ROM OS# CD, DVD# n #...# # Bernoulli model: p Gilbert-Elliott model: G: good state#

More information

2011上宮太子_高校_学校案内

2011上宮太子_高校_学校案内 UENOMIYA TAISHI SENIOR HIGH SCHOOL GUIDE BOOK 2011 www.uenomiya-taishi.ed.jp Curriculum Curriculum Letʼs enjoy school life at UT! 01 02 4 April 5 May 6 June 7 July 03 8 9 10 11 August September October

More information

平均電流制限(ACL)によるハーフブリッジ入力コンデンサ中点の平衡化

平均電流制限(ACL)によるハーフブリッジ入力コンデンサ中点の平衡化 LM5039 Literature Number: JAJA419 POWER designer Expert tips, tricks, and techniques for powerful designs No. 128 national.com/powerdesigner ACL By Ajay Hari, Senior Applications Engineer and Robert Oppen,

More information

untitled

untitled EMG 2014/7/8 Rev. 2015/6/20 IEA 2013 2013 1.5 f=df/dt=r*n*(1 F) F=N/K log(f/(1 f)) 1 0.5 0.5 0 1850 1900 1950 2000 2050 2100 2150 1 1.5 2 2.5 year hydrogen BTG or IGCC power wiki IGCC wiki nuclear

More information

MITSUMI Any products mentioned in this catalog are subject to any modification in their appearance and others for improvements without prior notificat

MITSUMI Any products mentioned in this catalog are subject to any modification in their appearance and others for improvements without prior notificat VDD VOUT CE Bias CS Vref GND Thermal Shutdown Current Limit 5 4 2 SOT89-5A (TOP VIEW) 3 A µf µf VDD VOUT A V A CE GND CS.µF µf µf VDD VOUT CE GND CS.µF Schottky barrier diode VDD VOUT CE GND CS VDD

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

Digital Series DS-00 5 6 5 P.7 5 P. 5 6 6 P.09 P.8 7 P.5 P.8 P.80 8 9 P. P. P.09 P.7 P. P.5 P.0 P.9 P.7 0 P.9 P. P.79 P.6 P.5 P.8 P.6 5 6 P. 7 P.9P. 8 P. 6 5 P.7 9 5 6 P. 0 6 5 P.7 5 6 P. 5 P.9 P.

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

j9c11_avr.fm

j9c11_avr.fm AVR Type: AVR-M AVRL Issue date: September 211 RoHS EU Directive 22/95/EC PBB PBDE (1/1) SMD RoHS AVR AVR-M AVRL Varistor 2Zener diode Current(A) Positive direction 1 1 1 2 1 3 1 4 1 5 Zener diode /Vz:6.8V

More information

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO and Masaru KOBAYASHI Chassis Engineering Management

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

sumi.indd

sumi.indd S/N S/N CCDCMOS CCD CMOS & E-mail hirofumi.sumi@jp.sony.com & E-mail Tadakuni.Narabu@jp.sony.com & E-mail Shinichiro.Saito@jp.sony.com Hirofumi SUMI, Non - Member and Tadakuni NARABU, Member and Shinichiro

More information

MLA8取扱説明書

MLA8取扱説明書 (5)-2 2 (5)-2 3 (5)-2 4 5 2 3 4 5 6 7 1 2 3 4 5 6 7 8 POWER ON / OFF 1 1 n 2 3 4 5 6 7 n 6 AC IN 8 MODEL MAL8 MADE IN INDONESIA 7 6 5 4 OUTPUT +4dBu ANALOG OUTPUT +4dBu G G 3 2 1 8 7 6 5 INPUT 4 3 2 1

More information

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp)

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp) ,Q /Q Tiny Low Power Operational Amplifier with Rail-to-Rail Input and Output Literature Number: JAJS809 CMOS SOT23-5 CMOS LMC6482/6484 PHS (PDA) PCMCIA 5-Pin SOT23 CMOS 19940216 33020 23900 11800 2006

More information

S: E: O: C: V : 5

S: E: O: C: V : 5 ( ) 2004 1 S: E: O: C: V : 5 1 1 2 2 2.1.................................... 2 2.2........................ 2 2.3........................... 3 3 7 3.1.................................... 7 3.2....................................

More information

MEISEI HEROES HERO HERO HERO MEISEI HEROES

MEISEI HEROES HERO HERO HERO MEISEI HEROES MEISEI HEROES MEISEI HEROES HERO HERO HERO MEISEI HEROES 04 INDEX 06 28 08 24 26 10 14 16 22 18 20 MEISEI HEROES 05 04 MEISEI HEROES 2014 SCHOOL GUIDE MEISEI HEROES 1 2 3 4 5 06 MEISEI HEROES 2014 SCHOOL

More information

D IEEJ Transactions on Industry Applications Vol.133 No.3 pp DOI: /ieejias DC-DC Principle of Surge Voltage of a Rectifier in I

D IEEJ Transactions on Industry Applications Vol.133 No.3 pp DOI: /ieejias DC-DC Principle of Surge Voltage of a Rectifier in I D IEEJ Transactions on Industry Applications Vol.133 No.3 pp.350 359 DOI: 10.1541/ieejias.133.350 DC-DC Principle of Surge Voltage of a Rectifier in Isolated DC-DC Converters and Snubber Circuit Design

More information