橡ハードウエア仕様r01.PDF

Size: px
Start display at page:

Download "橡ハードウエア仕様r01.PDF"

Transcription

1 H3/7/4 H3/7/25

2

3 CPU HD647750F67(SH4)... 3 SDRAM TC59SM76AFTL-80 28MB... 3 FLASH ROM BM29LV800BA-90PFTN 2MB... 3 DPRAM IDT70V27L5 28KB... 3 FIFO IDT72V3690L LWORD FIFO Write FIFO Read... 5 DBGREG

4 SETTING REGISTER... 9 GLINK MON REGISTER... 0 GLINK CHK REGISTER... 0 GLINK SET REGISTER... TTC CNT REGISTER... 2 SLINK CNT REGISTER... 3 FIFO INPUT MASK REGISTER... 3 FIFO FULL REGISTER... 4 FIFO ALMOST FULL REGISTER... 4 FIFO EMPTY REGISTER... 5 FIFO FULL MASK REGISTER... 5 FIFO ALMOST FULL MASK REGISTER... 6 GLINK FIFO RESET REGISTER... 6 TTC FIFO RESET REGISTER... 7 OUT FIFO RESET REGISTER... 8 SH4 IRL from CSR REGISTER... 9 VME IREQ from SH4 REGISTER... 9 VME IREQVEC from SH4 REGISTER VME IREQ from CSR REGISTER VME IREQVEC from CSR REGISTER... 2

5 SH4 RESET REGISTER GLINK-Rx (SW7) GLINK-Rx (SW83) AMODE (SW) VADR (SW,2,4,5) SH4 (SW3) (SW6) (SW7,4,5) SYS_RESET TCCLK FG JP VME P VME P (CN) SH4_UART_CH0 (CN3)... 3

6 SH4_UART_CH (CN4)... 3 ALTERA_JTAG (CN6,7)... 3 SH4_JTAG (CN8)... 3 TTCrx(J,2) SLINK (CN5)... 33

7 ATLAS TGC ROD-0 ATLAS TGC ROD-0

8 2

9 CPU HD647750F67(SH4) BCR 0xFF x C BCR2 0xFF xff0c WCR 0xFF x WCR2 0xFF80000C 32 0x WCR3 0xFF x MCR 0xFF x580 60c PCR 0xFF x0000 RTCSR 0xFF8000C 6 0xa509 RTCNT 0xFF xa500 RTCOR 0xFF xa5b4 PFCR 0xFF Default SDMR2 0xFF x00 SDMR3 0xFF x00 SH4 SDRAM TC59SM76AFTL-80 28MB SDRAM CPU FLASH ROM BM29LV800BA-90PFTN 2MB A20A0 A22A2 2 0x AA 00AA 32 20x0000 0AA x A0 00A DPRAM IDT70V27L5 28KB FIFO IDT72V3690L LWORD 3

10 0 H H 00F FFFF H H 03FF FFFF H H 040 FFFF H H 07FF FFFF 2 H H 0BFF FFFF 3 H 0C H 0FFF FFFF 4 H H F 5 6 H H 0FF FFFF H H F H H FF FFFF H H 3FF FFFF H H 5FF FFFF H H 7FF FFFF H H 9FF FFFF H A H BFF FFFF FLASH-ROM (2MB) DPRAM (28KB) SDRAM (64MB) SDRAM (64MB) CSR(FPGA) DBGREG FIFO-n FIFO-TTCn FIFO-n FIFO-TTCn ReadoutFIFO FIFO-VME /6/32/ /6/32/ Write 32 Read Write 4

11 FIFO Write H GLINK-FIFO_0 H GLINK-FIFO_ H GLINK-FIFO_2 H GLINK-FIFO_3 H GLINK-FIFO_4 H 2A GLINK-FIFO_5 H 2C GLINK-FIFO_6 H 2E GLINK-FIFO_7 H GLINK-FIFO_8 H GLINK-FIFO_9 H GLINK-FIFO_0 H GLINK-FIFO_ H GLINK-FIFO_2 H 3A TTC-FIFO_0 H 3C TTC-FIFO_ H 3E FIFO SH4 GLINK-FIFO-n D<5..0>, D<3..6> FPGA GLINK-FIFO-n TTC-FIFO 5FIFO Read H GLINK-FIFO_0 H GLINK-FIFO_ H GLINK-FIFO_2 H GLINK-FIFO_3 H GLINK-FIFO_4 H 4A GLINK-FIFO_5 H 4C GLINK-FIFO_6 H 4E GLINK-FIFO_7 H GLINK-FIFO_8 H GLINK-FIFO_9 H GLINK-FIFO_0 H GLINK-FIFO_ H GLINK-FIFO_2 H 5A TTC-FIFO_0 H 5C TTC-FIFO_ H 5E

12 DBGREG 0x Bit R/W R R R R R R R R R R R R R R R R Bit FLA SH_B USY R/W R R R R R R R R R R R R R R R R Bit Reg 3 RSV 0 FLASH_BUSY 0 6

13 H H 0 007F H H 0 FFFF H 0000 H 7FFF H 8000 H FFFF CSR D32 ReadoutFIFO D32 FIFO-VME D32 D32BLT H H 2 FFFF DPRAM (28Kbyte) D32 7

14 R/W 0x00 SETTING R/W H CSR 0x04 GLINK_MON R H x08 GLINK_CHK RC H x0C GLINK_SET R/W H x0 TTC_CNT R/W H x4 SLINK_CNT R/W H x8 FIFO_INPUT_MASK R/W H xC Reserved 0x20 FIFO_FULL RC H INTR 0x24 FIFO_ALMOST_FULL RC H x28 FIFO_EMPTY R H x2C FIFO_FULL_MASK R/W H 000 FFFF 32 0x30 FIFO_ALMOST_FULL_MASK R/W H 000 FFFF 32 0x34 GLINK_FIFO_RESET R/W H x38 TTC_FIFO_RESET R/W H x3C OUT_FIFO_RESET R/W H x40 SH4_IRL from CSR R/W H F 32 0x44 VME_IREQ from SH4 R/W H x48 VME_IREQVEC R/W H from SH4 0x4C VME_IREQ from CSR R/W H x50 VME_IREQVEC R/W H from CSR 0x54 Reserved 0x5C 0x60 SH4_RESET R/W H x64 0x7C Reserved 8

15 SETTING REGISTER Bit R/W R R R R R R R R R R R R R R R R Bit ROD BZ FIFO OUT TEST FIFO SC LK GC LK R/W R R R R R R R R R R R R/W R/W R/W R/W R/W Bit Reg 35 RSV 4 RODBZ 3 FIFOOUT 2 TESTFIFO SCLK 0 GCLK 0 ROD_BUSY (FF/PAF) ROD_BUSY 0 RreadoutFIFO Slink RreadoutFIFO Vme 0 FIFO-n,FIFO-TTCn SH4 WriteDisable FIFO-n,FIFO-TTCn SH4 WriteEnable 0 Slink CLK TTCrx 40.08MHz /2 Slink CLK 40.08MHz /2 0 Glink CLK TTCrx 40.08MHz Glink CLK 40.08MHz 9

16 GLINK MON REGISTER 4 GLINK Bit RDY 2 RDY RDY 0 RDY 9 RDY 8 RDY 7 RDY 6 RDY 5 RDY 4 RDY 3 RDY 2 RDY RDY 0 R/W R R R R R R R R R R R R R R R R Bit GER 2 GER GER 0 GER 9 GER 8 GER 7 GER 6 GER 5 GER 4 GER 3 GER 2 GER GER 0 R/W R R R R R R R R R R R R R R R R Bit reg 35 RSV 286 RDY[2..0] 0 Glink not Ready Glink Ready 53 RSV 20 GER[2..0] 0 Glink DATA not ERROR Glink DATA ERROR GLINK CHK REGISTER 8 ENABLE ENABLE bit FDC E2 FDC E FDC E0 FDC E9 FDC E8 FDC E7 FDC E6 FDC E5 FDC E4 FDC E3 FDC E2 FDC E FDC E0 R/W R R R RC RC RC RC RC RC RC RC RC RC RC RC RC bit FGE R2 FGE R FGE R0 FGE R9 FGE R8 FGE R7 FGE R6 FGE R5 FGE R4 FGE R3 FGE R2 FGE R FGE R0 R/W R R R RC RC RC RC RC RC RC RC RC RC RC RC RC bit Reg 35 RSV 286 FDCE[2..0] 0 FIFO-read-data data/conrol word FIFO-read-data data/conrol word 53 RSV 20 FGER[2..0] 0 FIFO-read-data RxGERR FIFO-read-data RxGERR 0

17 GLINK SET REGISTER C bit R/W R R R R R R R R R R R R R R R R bit ESM PX ENB RX FLG ENB R/W R R R R R R R R R R R R R R R/W R/W bit Reg 32 RSV ESMPXENB 0 RXFLGENB 0 disable descramble (Glink enable descramble (Glink 0 Flg bit is not used as a user bit (Glink Flg bit is used as a user bit (Glink

18 TTC CNT REGISTER 0 bit R/W R R R R R R R R R R R R R R R R bit SDA I SDA O SCL I SCL O TTCR ST TTCR DY R/W R R R R R R R R R R/W R R/W R R R/W R Bit Reg 38 RSV 7 SDAI 0 TTCrx-I2C 6 SDAO 0 TTCrx-I2C 5 SCLI 0 TTCrx-I2C 4 SCLO 0 TTCrx-I2C 32 RSV TTCRST 0 reset TTCrx(reset_b) none 0 TTCRDY 0 TTCrx not ready TTCrx ready 2

19 SLINK CNT REGISTER 4 Bit R/W R R R R R R R R R R R R R R R R Bit LRL3 LRL2 LRL LRL0 LDO WN# UCN TL# UTE ST# URE SET# R/W R R R R R R R R R R R R R R/W R/W R/W bit reg 38 RSV 74 LRL[3..0] 3 LDOWN# 2 UCNTL# UTEST# 0 URESET# 0 LINK RETURN KINE DATA 0 SLINK IS NOT OPERATIONAL OPERATIONAL 0 TRANSMIT CONTROL WORD TRANSMIT DATA WORD 0 SET THE SLINK TO THE TEST MODE SET THE SLINK TO NORMAL MODE 0 SLINK SET NONE FIFO INPUT MASK REGISTER 8 Bit R/W R R R R R R R R R R R R R R R R Bit FIM_ T FIM_ T0 FIM_ 2 FIM_ FIM_ 0 FIM_ 9 FIM_ 8 FIM_ 7 FIM_ 6 FIM_ 5 FIM_ 4 FIM_ 3 FIM_ 2 FIM_ FIM_ 0 R/W R R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W bit reg 35 RSV 40 FIM_T[..0] 0 FIFO Write Enable FIM[2..0] FIFO Input Mask(Write Disable) 3

20 FIFO FULL REGISTER 20 ENABLE ENABLE Bit FF_ VF R/W R R R R R R R R R R R R R R R Bit FF_ FF_ FF_ FF2 FF FF0 FF9 FF8 FF7 FF6 FF5 FF4 FF3 FF2 FF FF0 ROF T T0 R/W RC RC RC RC RC RC RC RC RC RC RC RC RC RC RC RC bit Reg 37 RSV 60 FF_VF FF_ROF FF_T[..0] FF[2..0] 0 not Full FIFO-Full FIFO ALMOST FULL REGISTER 24 ENABLE ENABLE Bit AF_ VF R/W R R R R R R R R R R R R R R R RC Bit AF_ AF_ AF_ AF2 AF AF0 AF9 AF8 AF7 AF6 AF5 AF4 AF3 AF2 AF AF0 ROF T T0 R/W RC RC RC RC RC RC RC RC RC RC RC RC RC RC RC RC bit Reg 37 RSV 60 AF_VF AF_ROF AF_T[..0] AF[2..0] 0 not Almost-Full FIFO Almost-Full 4

21 FIFO EMPTY REGISTER 28 FIFO VME FIFO EMPTY FIFO Bit R/W R R R R R R R R R R R R R R R R EF_ VF Bit EF_ EF_ EF_ EF2 EF EF0 EF9 EF8 EF7 EF6 EF5 EF4 EF3 EF2 EF EF0 ROF T T0 R/W R R R R R R R R R R R R R R R R Bit reg 37 RSV 60 EF_VF EF_ROF EF_T[..0] EF[2..0] 0 not Empty FIFO Empty FIFO FULL MASK REGISTER 2C Bit MFF_ VF R/W R R R R R R R R R R R R R R R R/W Bit MFF_ ROF MFF_ T MFF_ T0 MFF 2 MFF MFF 0 MFF 9 MFF 8 MFF 7 MFF 6 MFF 5 MFF 4 MFF 3 MFF 2 MFF MFF 0 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Bit reg 37 RSV 60 MFF_VF MFF_ROF MFF_T[..0] MFF[2..0] 0 FIFO-Full Enable FIFO-Full Disable 5

22 FIFO ALMOST FULL MASK REGISTER 30 Bit MAF _ VF R/W R R R R R R R R R R R R R R R R/W Bit MAF _ROF MAF _T MAF _T0 MAF 2 MAF MAF 0 MAF 9 MAF 8 MAF 7 MAF 6 MAF 5 MAF 4 MAF 3 MAF 2 MAF MAF 0 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Bit reg 37 RSV 60 MAF_VF MAF_ROF MAF_T[..0] MAF[2..0] GLINK FIFO RESET REGISTER 34 0 FIFO Almost-Full Enable FIFO Almost-Full Disable FIFO Bit R/W R R R R R R R R R R R R R R R R/W Bit G_FS EL G_FS EL0 G_ LD G_ FRST R/W R R R R R R R R R R R R R/W R/W R/W R/W Bit Reg 34 RSV 32 G_FSEL[..0] 0 MRST Offset pin G_LD 0 G_FRST 0 MRST Offset pinmrst Offset Enable MRST Offset pinmrst Offset Disable 0 FIFO reset none 6

23 TTC FIFO RESET REGISTER 38 FIFO Bit R/W R R R R R R R R R R R R R R R R/W Bit T_FS EL T_FS EL0 T_ LD T_ FRST R/W R R R R R R R R R R R R R/W R/W R/W R/W Bit Reg 34 RSV 32 T_LD T_FSEL[..0] 0 T_FRST 0 MRST Offset pin 0 MRST Offset pinmrst Offset Enable MRST Offset pinmrst Offset Disable 0 FIFO reset None 7

24 OUT FIFO RESET REGISTER 3C FIFO Bit R/W R R R R R R R R R R R R R R R R/W Bit RV_F SEL RV_F SEL0 RV_ LD RV_ FRST R/W R R R R R R R R R R R R R/W R/W R/W R/W Bit Reg 34 RSV 32 T_LD T_FSEL[..0] 0 T_FRST 0 MRST Offset pin 0 MRST Offset pinmrst Offset Enable MRST Offset pinmrst Offset Disable 0 FIFO reset none LD,FSEL[..0]offset-value LD FSEL FSEL0 offset-value H L L 023 L H L 5 L L H 255 L L L 27 L H H 63 H H L 3 H L H 5 H H H 7 8

25 SH4 IRL from CSR REGISTER 40 FIFO_FULLALMOST_FULL SH4 FIFO_FULL,ALMOST_FULL H F Bit R/W R R R R R R R R R R R R R R R R/W Bit IRL3 IRL2 IRL IRL0 R/W R R R R R R R R R R R R R/W R/W R/W R/W Bit Reg 34 RSV 30 IRL[3..0] 0 SH4 VME IREQ from SH4 REGISTER 44 SH4 VME Bit R/W R R R R R R R R R R R R R R R R/W Bit SI SI SI REQ2 REQ REQ0 R/W R R R R R R R R R R R R R R/W R/W R/W Bit Reg 33 RSV 20 SIREQ[2..0] 0 SH4 VME (7) 9

26 VME IREQVEC from SH4 REGISTER 48 SH4 VME IREQ VECTOR Bit C3 C30 C29 C28 C27 C26 C25 C24 C23 C22 C2 C20 C9 C8 C7 C6 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Bit C5 C4 C3 C2 C C0 C9 C8 C7 C6 C5 C4 C3 C2 C C0 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Bit Reg 30 C[3..0] 0 SH4 VME VME IREQ from CSR REGISTER 4C FIFO_FULLALMOST_FULL VME Bit R/W R R R R R R R R R R R R R R R R Bit CI REQ2 CI REQ CI REQ0 R/W R R R R R R R R R R R R R R/W R/W R/W Bit Reg 33 RSV 20 CIREQ[2..0] 0 CSR VME (7) 20

27 VME IREQVEC from CSR REGISTER 50 CSR VME IREQ VECTOR Bit C3 C30 C29 C28 C27 C26 C25 C24 C23 C22 C2 C20 C9 C8 C7 C6 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Bit C5 C4 C3 C2 C C0 C9 C8 C7 C6 C5 C4 C3 C2 C C0 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W Bit Reg 30 C[3..0] 0 CSR VME SIREQ[2..0],CIREQ[2..0]VME IREQ[7..] SIREQ[2..0]/CIREQ[2..0] VME 0 IREQ 0 IREQ2 00 IREQ3 0 IREQ4 00 IREQ5 00 IREQ6 000 IREQ7 SH4,CSR VECTOR IREQ VME IREQ 2

28 SH4 RESET REGISTER 60 SH4 Bit R/W R R R R R R R R R R R R R R R R Bit SH4_ RST R/W R R R R R R R R R R R R R R R R/W Bit Reg 3 RSV 0 SH4_RST 0 SH4 RESET None 22

29 GLINK-Rx (SW7) SW7 CH RXPASSENB Disable Enable GLINK-2 ON 2 RXDIV0 0 GLINK-2 OFF 3 RXDIV 0 GLINK-2 ON DSW GLINK HIGH HIGH,LOW GLINK-Rx (SW83) SWx CH RXPASSENB Disable Enable GLINK-n ON 2 RXDIV0 0 GLINK-n OFF 3 RXDIV 0 GLINK-n ON 4 RXPASSENB Disable Enable GLINK-n+ ON 5 RXDIV0 0 GLINK-n+ OFF 6 RXDIV 0 GLINK-n+ ON (n=0,2,4,6,8,0) DSW GLINK HIGH HIGH,LOW AMODE (SW) PATERN PATERN2 PATERN3 PATERN4 8 AMODE[0] AMODE[] 0 0 A32 A24 A6 NOT USED 23

30 VADR (SW,2,4,5) VME SW ON OFF 3 VADR[5] 0 2 VADR[6] 0 VADR[7] 0 SW2 ON OFF 8 VADR[8] 0 7 VADR[9] 0 6 VADR[0] 0 5 VADR[] 0 4 VADR[2] 0 3 VADR[3] 0 2 VADR[4] 0 VADR[5] 0 SW4 ON OFF 8 VADR[6] 0 7 VADR[7] 0 6 VADR[8] 0 5 VADR[9] 0 4 VADR[20] 0 3 VADR[2] 0 2 VADR[22] 0 VADR[23] 0 SW5 ON OFF 8 VADR[24] 0 7 VADR[25] 0 6 VADR[26] 0 5 VADR[27] 0 4 VADR[28] 0 3 VADR[29] 0 2 VADR[30] 0 VADR[3] 0 24

31 SH4 (SW3) 3 ON OFF 8 OFF 7 0 OFF 6 ON 5 0 OFF OFF 3 ON CPU 2 OFF OFF SH (SW6) ROD SH4 (SW7,4,5) 25

32 SYS_RESET JP JP SYS_RESET TCCLK JP JP-7,8 TTCrx FIFO TTXrx FG JP JP23 GND JP 26

33 LED LED LED5 LED LED9 LED0 LED LED2 LED3 LED4 LED23 LED9 LED23 LED24 LED75 LED76 LED79 LED80 LED92 LED VME CLK LED2 VME LED3 BERR LED4 LED5 FF,PAF FIFO EF FIFO PAF FIFO FF SLINK LED9 LED20 LED2 LED22 LED23 GNIK LED(24+4n) LED(25+4n) LED(26+4n) LED(27+4n) TTCrx LED76 LED77 LED78 LED79 SLINKCLK FIFODATA SLINK FIFODATA VME LFF GLINK_FIFO CLK TESTFIFO RXERROR RXREADY TESTMD ON TTC_FIFO_0 TTC_FIFO_ TTC_FIFO CLK CN P VME P P2 VME P2 CN CN2 ROD_BUSY CN3 SH4-UART_CH0 CN4 SH4-UART_CH DBG CN5 SLINK CN6 ALTERA-JTAG ROM 27

34 CN7 ALTERA-JTAG SRAM CN8 SH4-JTAG J TTCrx J2 TTCrx 28

35 VME P A VD0 B C VD8 D Z A2 VD B2 C2 VD9 D2 GND Z2 GND A3 VD2 B3 C3 VD0 D3 Z3 A4 VD3 B4 C4 VD D4 Z4 GND A5 VD4 B5 C5 VD2 D5 Z5 A6 VD5 B6 C6 VD3 D6 Z6 GND A7 VD6 B7 C7 VD4 D7 Z7 A8 VD7 B8 C8 VD5 D8 Z8 GND A9 GND B9 C9 GND D9 Z9 A0 SYSCLK B0 C0 D0 Z0 GND A GND B C BERRIN# D Z A2 DS# B2 C2 SYSRST# D2 +3.3V Z2 GND A3 DS0# B3 C3 LWORD# D3 Z3 A4 WRITE# B4 C4 AM5 D4 +3.3V Z4 GND A5 GND B5 C5 VA23 D5 Z5 A6 DTACK# B6 AM0 C6 VA22 D6 +3.3V Z6 GND A7 GND B7 AM C7 VA2 D7 Z7 A8 AS# B8 AM2 C8 VA20 D8 +3.3V Z8 GND A9 GND B9 AM3 C9 VA9 D9 Z9 A20 IACK# B20 GND C20 VA8 D V Z20 GND A2 IACKIN# B2 C2 VA7 D2 Z2 A22 IACKOUT# B22 C22 VA6 D V Z22 GND A23 AM4 B23 C23 VA5 D23 Z23 A24 VA7 B24 IREQ7# C24 VA4 D V Z24 GND A25 VA6 B25 IREQ6# C25 VA3 D25 Z25 A26 VA5 B26 IREQ5# C26 VA2 D V Z26 GND A27 VA4 B27 IREQ4# C27 VA D27 Z27 A28 VA3 B28 IREQ3# C28 VA0 D V Z28 GND A29 VA2 B29 IREQ2# C29 VA9 D29 Z29 A30 VA B30 IERQ# C30 VA8 D V Z30 GND A3 B3 C3 D3 GND Z3 A32 +5V B32 +5V C32 +5V D32 Z32 GND 29

36 VME P2 A B +5V C D Z A2 B2 GND C2 D2 Z2 GND A3 B3 RETRY# C3 D3 Z3 A4 B4 VA54 C4 D4 Z4 GND A5 B5 VA25 C5 D5 Z5 A6 B6 VA26 C6 D6 Z6 GND A7 B7 VA27 C7 D7 Z7 A8 B8 VA28 C8 D8 Z8 GND A9 B9 VA29 C9 D9 Z9 A0 B0 VA30 C0 D0 Z0 GND A B VA3 C D Z A2 B2 GND C2 D2 Z2 GND A3 B3 +5V C3 D3 Z3 A4 B4 VD6 C4 D4 Z4 GND A5 B5 VD7 C5 D5 Z5 A6 B6 VD8 C6 D6 Z6 GND A7 B7 VD9 C7 D7 Z7 A8 B8 VD20 C8 D8 Z8 GND A9 B9 VD2 C9 D9 Z9 A20 B20 VD22 C20 D20 Z20 GND A2 B2 VD23 C2 D2 Z2 A22 B22 GND C22 D22 Z22 GND A23 B23 VD24 C23 D23 Z23 A24 B24 VD25 C24 D24 Z24 GND A25 B25 VD26 C25 D25 Z25 A26 B26 VD27 C26 D26 Z26 GND A27 B27 VD28 C27 D27 Z27 A28 B28 VD29 C28 D28 Z28 GND A29 B29 VD30 C29 D29 Z29 A30 B30 VD3 C30 D30 Z30 GND A3 B3 GND C3 D3 GND Z3 A32 B32 +5V C32 D32 Z32 GND (CN) +3.3V V V V 5 +5V 6 GND 7 GND 8 GND 9 GND 0 GND 30

37 SH4_UART_CH0 (CN3) TX0 2 RX0 3 GND SH4_UART_CH (CN4) TX 2 RX 3 GND ALTERA_JTAG (CN6,7) TCKI 2 GND 3 TDO V 5 TMS TDI 0 GND SH4_JTAG (CN8) TCK 2 GND 3 TRST# 4 GND 5 SHTDO 6 GND 7 ASEBRK# 8 9 TMS 0 GND TDI 2 GND 3 RST# 4 GND 3

38 TTCrx(J,2) (J) (J2) 2 TTCCLKdes EVCNTLSTR 8 8 EVCNTHSTR GND SUBADR0 BCNT0 2 SUBADR 2 BCNT 3 SUBADR2 3 BCNT2 4 SUBADR3 4 BCNT3 5 SUBADR4 5 BCNT4 6 SUBADR5 6 BCNT5 7 SUBADR6 7 BCNT6 8 SUBADR7 8 BCNT7 9 TTC_DQ0 9 BCNT8 20 TTC_DQ 20 BCNT9 2 TTC_DQ2 2 BCNT0 22 TTC_DQ3 22 BCNT 23 Doutstr GND TTC_DOUT TTC_DOUT TTC_DOUT2 27 SDA 28 TTC_DOUT TTC_DOUT4 29 BCNTSTR 30 TTC_DOUT TTC_DOUT6 3 GND 32 TTC_DOUT7 32 GND 33 RESET_B 33 GND 34 READY 34 GND 35 GND 35 +5V 36 GND 36 +5V 37 GND 37 +5V 38 GND 38 +5V 39 GND GND 40 SCL 4 GND 4 GND 42 GND 42 GND 43 GND V 44 GND V 45 GND V 46 GND V 47 GND 47 GND 48 GND 48 GND 49 GND 49 GND 50 GND 50 GND 32

39 SLINK (CN5) LRL3 33 SLD23 2 LRL2 34 SLD V 35 SLD2 4 LRL 36 GND V 37 SLD20 6 LRL0 38 SLD9 7 LDOWN# V 8 GND 40 SLD8 9 GND 4 SLD7 0 LFF# 42 SLD6 UCLK 43 SLD5 2 GND 44 GND 3 GND 45 SLD4 4 UWEN# 46 SLD3 5 URESET# 47 GND 6 GND 48 SLD2 7 GND 49 SLD 8 UTEST# 50 SLD0 9 UCNTRL# 5 SLD9 20 GND V 2 SLD3 53 SLD V 54 SLD7 23 GND 55 GND 24 SLD30 56 SLD6 25 SLD29 57 SLD5 26 SLD28 58 SLD4 27 SLD27 59 SLD3 28 GND 60 GND 29 SLD26 6 SLD2 30 SLD25 62 SLD 3 GND V 32 SLD24 64 SLD0 33

untitled

untitled ( ) () ( ) 1 1 TX19A31_AG3 TX19A/H1 CPUTMP19A31CYFG AG3 AG3 2 2 3 TX19A31_AG3 CPU 32 RISC TMP19A31CYFG (U1) 80MHz ROM 32Mbit ROM 1 ROM (U8) 16Mbit Flash ROM 1 (U9) RAM 4Mbit SRAM 1 (U10) I/F RS232C 1chSIO

More information

oaks32r_m32102

oaks32r_m32102 OAKS32R-M32102S6FP 2 OAKS32R OAKS32R-M32102S6FP M32102S6FP... 4... 5... 6... 7 4.1. CPU...7 4.2. Flash ROM SDRAM....8 4.3. LANC....8 4.4. RS232C....9 4.5. CPU....9 4.6.....9 4.7....10 4.8. SDI....10...

More information

基本条件 (1Slot 版用 ) 機能 MR-SHPC 端子名 設定内容 備考 CS 空間 -CS CS6 空間 ( キャッシュ無し ) キャッシュ無し空間を使用 (B h) RA25 0 固定 レジスタ空間 RA24 0 固定 RA23 0 固定 B83FFFE 4h~B83FFFF

基本条件 (1Slot 版用 ) 機能 MR-SHPC 端子名 設定内容 備考 CS 空間 -CS CS6 空間 ( キャッシュ無し ) キャッシュ無し空間を使用 (B h) RA25 0 固定 レジスタ空間 RA24 0 固定 RA23 0 固定 B83FFFE 4h~B83FFFF SH4 基本システム構成例 IRLn A25-0 D15-0 -CSn -BS -RD -WE1-0 -RDY CKIO -RESET SIRQ 3-0 SA25-0 SD15-0 -CS -BS -SRD -SWE1-0 -WAIT /-RDY CKIO -RESET RA25-22 ENDIAN TEST 任意の設定値 SH4 MR-SHPC-01 V2 CA25-0 -CCE2-1 -CREG

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

MS104-SH4 ハードウェアマニュアル

MS104-SH4 ハードウェアマニュアル PC/104 SH-4 CPU BOARD ALPHA PROJECT co.,ltd http://www.apnet.co.jp D-Sub (16mm) PC/104 40pin PC/104 64pin! HDL SH7750 PC/104 Specification PC/104 Consortium URL http://www.renesas.com/jpn/ http://www.smsc.jp/

More information

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2 ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) http://www.microfan.jp/ http://store.shopping.yahoo.co.jp/microfan/ http://www.microfan.jp/shop/ 2017 4 Copyright c 2017 MicroFan, All Rights Reserved. i 1 ESP32-KEY-KIT-R1

More information

HXテクニカルマニュアル

HXテクニカルマニュアル UNI-WIRE HX 1.1 i UNI-WIRE AnyWire ii iii 1...4 11 UNI-WIRE HX... 4 12... 5 UNI-WIRE HX...5...5...5 13 UNI-WIRE HX... 7...7...9...9...9...10...10 14 UNI-WIRE HX... 11...11...11...12...13...13...17 15 I/O...

More information

2360 Series e q t r q3u w e r tm412 B w 3U 6U /84TE / 19 DIN41494 Part5 DIN41612, MIL-STD810C 3U,6U b h a H TE5.08=C A U TE TE5.08=C A B H

2360 Series e q t r q3u w e r tm412 B w 3U 6U /84TE / 19 DIN41494 Part5 DIN41612, MIL-STD810C 3U,6U b h a H TE5.08=C A U TE TE5.08=C A B H 2360 Series 19 EIA DINIEC 482.6mm1944.45mm1.77 1U 465.1mm 31.7512.7mm 15.87515.87512.7mm2 44.45 44.45 44.45 31.75 12.7 1 2 3 4 5 6 7 8 9 10 11 12 V V V V V V W W W W W W W 19" = 482.60.4 (U) h Q1 Q2

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM 2014/4/22 1 1.1 SRAM SRAM 1 128K 1M 128K 8 17 8 SRAM CS 1 OE 2 WE 3 CS OE WE V CC V SS 1: SRAM SRAM 2 2 (a) t ACC t RC 1 2 (b) t CSW CS 1 chip select 2 output enable 3 write enable 1 c 2014 2 t WC 1 2:

More information

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション Version2.0(2009.2.6) Copyright 2008 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Kontakttechnik GmbH Hansastraße 27 D-32423 Minden Phone: +49 (0) 571/8 87 0 Fax: +49 (0) 571/8 87 1 69 E-Mail:

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

ユーザーズマニュアル(SVCEシリーズ)

ユーザーズマニュアル(SVCEシリーズ) SV-NET CONTROLLER SVCE SV-NET Controller SVCE SV-NET Controller Ether SV-NET SVCE Ethernet EtherCAT EtherCAT SVCE SVCE SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

ユーザーズマニュアル(SVCCシリーズ)

ユーザーズマニュアル(SVCCシリーズ) SV-NET CONTROLLER SVCC SV-NET Controller SVCC SV-NET Controller Compact SV-NET SVCC SVCC SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact SV-NET Controller Ether C OS C

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

untitled

untitled CISC(complex instruction set computer) RISC(reduced instruction set computer) (cross software) (compiler) (assembler) (linkage editor) (loader) tokenizer) (parser) (code generator) (execute) GNU http://www.gnu.org/

More information

pin-csp011.xls

pin-csp011.xls CSP-011-130E(EPF10K130ERC240) ピン番号 デバイスピン名称 信号名 内容 処理 1 TCK TCK JTAG:TCK プルダウン / 内部使用 2 CONF_DONE CONF_DONE CONF_DONE プルアップ / 内部使用 3 nceo nceo CEO プルアップ / 内部使用 4 TDO TDO JTAG:TDO プルアップ / 内部使用 5 VCCINT

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

Linear Tape-Open LTO Ultrium Tape Drive International Business Machines Corporation Hewlett-Packard Company Seagate Technology Microsoft Windows Windo

Linear Tape-Open LTO Ultrium Tape Drive International Business Machines Corporation Hewlett-Packard Company Seagate Technology Microsoft Windows Windo N8160-34 N8160-35 Date: 2004 1 Document Number: 856-120430-200-A Revision: 10 Linear Tape-Open LTO Ultrium Tape Drive International Business Machines Corporation Hewlett-Packard Company Seagate Technology

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

3 ( 9 ) ( 13 ) ( ) 4 ( ) (3379 ) ( ) 2 ( ) 5 33 ( 3 ) ( ) 6 10 () 7 ( 4 ) ( ) ( ) 8 3() 2 ( ) 9 81

3 ( 9 ) ( 13 ) ( ) 4 ( ) (3379 ) ( ) 2 ( ) 5 33 ( 3 ) ( ) 6 10 () 7 ( 4 ) ( ) ( ) 8 3() 2 ( ) 9 81 1 ( 1 8 ) 2 ( 9 23 ) 3 ( 24 32 ) 4 ( 33 35 ) 1 9 3 28 3 () 1 (25201 ) 421 5 ()45 (25338 )(2540 )(1230 ) (89 ) () 2 () 3 ( ) 2 ( 1 ) 3 ( 2 ) 4 3 ( 9 ) ( 13 ) ( ) 4 ( 43100 ) (3379 ) ( ) 2 ( ) 5 33 ( 3 )

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

ESP8266-CORE-R Copyrig

ESP8266-CORE-R Copyrig ESP8266-CORE-R1 http://www.microfan.jp/ https://store.shopping.yahoo.co.jp/microfan/ https://www.amazon.co.jp/s?merchant=a28nhprkjdc95b 2018 3 Copyright c 2017-2018 MicroFan, All Rights Reserved. i 1 ESP8266-CORE-R1

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) (

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( (2001/11/13) Express5800/120Lf 1. Express5800/120Lf N8100-748 N8100-751 N8100-754 Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( /1.26G(512)) CPU Hot-Plug Pentium (1.0BGHz)

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

CPU VS-RC003 RobovieMaker for VS-RC003

CPU VS-RC003 RobovieMaker for VS-RC003 CPU VS-RC003 RobovieMaker for VS-RC003 2 1. 4 1-1. 4 1-2.CPU 5 1-3.CPU PC 7 2. 9 2-1.PC 9 2-2. 11 2-2-1. 11 2-2-2. 13 2-2-3. 15 3. 16 3-1. 16 3-1-1. 17 3-2. 18 3-2-1.CPU 18 3-2-2. 19 3-2-3. CPU 21 3-3.

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

(Microsoft Word - \216\346\220\340SiTCP-VME-Master\(Rev26\).doc)

(Microsoft Word - \216\346\220\340SiTCP-VME-Master\(Rev26\).doc) SiTCP VME-Master Master module Mode2 BBT-002 002-2 取扱説明書 Rev 2.6 (June21, 2016) 変更履歴 Rev 変更日 変更ページ 変更内容 0.4 2008/02/13 P12 Address Fix モード時の制限事項を追加 0.5 2008/02/14 P3, 11 非整列転送の非サポートを明記 1.0 2008/04/04 P6

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

<4D F736F F D2095BD90AC E E838B8C6E8EBE8AB382CC93AE8CFC82C98AD682B782E9838A837C815B83675F2E646F6378>

<4D F736F F D2095BD90AC E E838B8C6E8EBE8AB382CC93AE8CFC82C98AD682B782E9838A837C815B83675F2E646F6378> 29 4 IT 1,234 1,447 1 2 3 F20-F29 F20,F21,F22 F23,F24,F25, F28F29 F30-F39 F30,F31,F32 F33,F34,F38 F39 F40-F48 F40,F41,F42 F43,F44,F45 F48 1,234 14,472,130 75,784,748 9,748,194 47,735,762 4,723,984 28,048,986

More information

JTAGプローブ技術資料SH編 Rev.16

JTAGプローブ技術資料SH編 Rev.16 SuperH RISC engine ファミリ編 2015. 3:Rev16 www.bitran.co.jp ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可無く複製 改変などを行う事は出来ません 4 本書及びプログラムの内容について万全を期して作成いたしましたが

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

Express5800/110Rc-1 1. Express5800/110Rc-1 N N Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1

Express5800/110Rc-1 1. Express5800/110Rc-1 N N Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1 (2002/01/22) Express5800/110Rc-1 1. Express5800/110Rc-1 N8100-665 N8100-793 Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1 Celeron (850MHz) 1 L1 32KB L2 256KB 128KB

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

Express5800/140Hb (2002/01/22)

Express5800/140Hb (2002/01/22) (2002/01/22) 1. N8100-592B N8100-594B N8100-681 ( -X/700(1)) ( -X/700(2)) ( -X/900(2)) CPU L1 Pentium Xeon (700MHz) 1 4 Pentium Xeon (700MHz) 1 4 32KB Pentium Xeon (900MHz) 1 4 L2 1MB 2MB 2MB CD-ROM LAN

More information

液晶プロジェクター CP-S317J/X327J 取扱説明書

液晶プロジェクター CP-S317J/X327J 取扱説明書 CP-S317/CP-X327/CP-X328 STANDBY/ON VIDEO RGB SEARCH ASPECT MAGNIFY ON OFF FREEZE POSITION ESC HOME END PAGE DOWN ENTER AUTO PAGE UP BLANK VOLUME MUTE KEYSTONE MENU RESET TANDBY/ON INPUT KEYSTONE RESET

More information

Express5800/110Ee (2002/01/22)

Express5800/110Ee (2002/01/22) (2002/01/22) 1. N8100-691 ( /1BG(256)) CPU L1 L2 CD-ROM LAN OS Pentium 1.0BGHz 1 32KB 256KB 128MB 1.5GB ( IDE 60GB 3( IDE 2)) ( SCSI 18.1GB 3) 14 40 100BASE-TX 10BASE-T 640 480 1280 1024(VRAM 8MB) 2. CD-ROM

More information

2 (4)-7

2 (4)-7 2 (4)-7 (4)-7 3 4 p r f > 5 6 7 8 9 10 11 r q!1 o!0!2!3!4!5 w e t y u i!6!7 q w e r t y 12 u i o!0!1!7!2!3!4!5 p r f >!6!7 13 !8!8!9!9 @0 @0 14 @1 @2 @3 @4 @5 @6 @7 @8 @9 @1 @2 @3 @5 @6 @7 @8 @9 @4 15

More information

SR-X526R1 サーバ収容スイッチ ご利用にあたって

SR-X526R1 サーバ収容スイッチ ご利用にあたって SR-X526R1 P3NK-3432-05Z0 526R1 V01 SR-X526R1 V01 2009 10 2010 4 2 2011 5 3 2012 3 4 2012 11 5 Microsoft Corporation Copyright FUJITSU LIMITED 2009-2012 2 SR-X526R1 V01...2...5...5...5...5...6...7...8...8...11...11...11...11...11...11...12...12...12...12...13...13...13

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

V103.indd

V103.indd SO-30 SO-30 2 3 4 5 6 7 8 RC-2 9 10 34 45 12 13 12 1 2 3 4 5 6 7 8 9 10 11 12 -12 12 0-2 420 450 442 OFFC B OFF A- 14 OFFON OFF OFF1 3 OFF1 10 5 OFF1 5 OFF OFF1 5 OFF OFF1 5 15 R R 20 300 OFF1 10 16 R

More information

Express5800/120Rb-1 (2002/01/22)

Express5800/120Rb-1 (2002/01/22) (2002/01/22) 1. N8100-764 N8100-765 N8100-783 ( /1BG(256)) ( /1.26G(512)) ( /1.40G(512)) CPU Pentium Pentium -S Pentium -S (1BGHz) 1( 2 ) (1.26GHz) 1( 2 ) (1.40GHz) 1( 2 ) L1 32KB L2 256KB 512KB 256MB(

More information

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp)

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp) LM9822 LM9822 3 Channel 42-Bit Color Scanner Analog Front End Literature Number: JAJS680 LM9822 3 42 LM9822 AFE CIS CCD CDS / LM9822 14 6MHz ADC 600 / CCD CDS CCD CIS TTL/CMOS 14 6MHz 5V 5% I/O 3.3V 10%

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

パワープロジェクター LV-X2 使用説明書

パワープロジェクター LV-X2 使用説明書 LV-X J PC ADJ..4.8 m 4 m 00 PC MUTE NO SHOWFREEZE P-TIMER DVD HDTV NTSC NTSC4.43 PAL SECAM PAL-M PAL-N B500 9 0 36XGA 3W UHP.4 9 00 D-sub 5 ( ) S USB INPUT POWER + VOL W KEY MUTE STONE D ZOOM T MENU SET

More information

Arduino UNO IS Report No. Report Medical Information System Laboratory

Arduino UNO IS Report No. Report Medical Information System Laboratory Arduino UNO 2015 2 25 IS Report No. Report Medical Information System Laboratory Abstract ( ) Arduino / Arduino Bluetooth Bluetooth : Arduino Arduino UNO Arduino IDE micro computer LED 1............................

More information

PIC

PIC PIC LED12 LED11 LED10 LED9 LED8 LED7 LED6 LED5 LED4 LED3 LED2 LED1 +3V SW START SW STOP SW + - BUZZER PIC16F628A RA2 RA3 RA4 MCLR GND RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 Vcc RA6 RA0 RA1 RA7 U1

More information

unitech PA500 Enterprise PDA Rev. A

unitech PA500 Enterprise PDA Rev. A unitech PA500 Enterprise PDA Rev. A PA500 Enterprise PDA Unitech Copyright 2007 unitech Electronics Co., Ltd. Web : http:\\www.unitech-japan.co.jp Bluetooth Bluetooth SIG Microsoft Windows ActiveSync

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

SPRO-00910-01 FNT3012B-13 FNT3012B-15 2011 6 2 1... 1 1.1... 1 1.2... 2 2... 3 3... 4 4... 7 5... 8 5.1... 8 5.2... 11 6... 15 6.1... 15 6.2... 15 7... 16 7.1... 16 7.2... 16 7.3... 17 7.4... 17 8... 20

More information

MS104-SH4ハードウェアマニュアル.PDF

MS104-SH4ハードウェアマニュアル.PDF MS104 series PC/104 SH-4 CPU BOARD 1 ALPHA PROJECT co.,ltd http://www.apnet.co.jp D-Sub (16mm) PC/104 40pin PC/104 64pin CD-ROM! HDL GPLGNU General Public License LGPL(GNU Lesser General Pub lic License)

More information

Express5800/120Ra-1

Express5800/120Ra-1 1. CPU L1 L2 CD-ROM LAN OS OS N8100-661A ( /1BG(256)) Pentium 1.0BGHz 1 2 32KB 256KB 128MB 4GB (73.2GB 2) 10 24 100BASE-TX 10BASE-T 2 640 480 1280 1024* 2. DISK LINK/ACT(LAN1) STATUS LINK/ACT(LAN2) POWER/SLEEP

More information

5

5 検索エンジン (google Yahoo Goo MSN Excite Infoseek ) で サーチされ PDF ファイルを直接ダウンロードされた方へ http://marsit.info が下記ホームページの入口です 下記の メインページへ アニメで観るサブページへ カードセキュリティ 提案アニメーション 特許明細書など のダウンロード 国からの委託研究報告 ダウンロード 総務省への開発提案と評価

More information

Express5800/120Ed

Express5800/120Ed Pentium 60% 1. N8500-570A N8500-662 N8500-663 N8500-664 ( /800EB(256)) ( /800EB(256)-9W) ( /800EB(256)-9W2) ( /1BG(256)) Windows NT Server 4.0 Windows 2000 HDD HDD CPU Pentium 800EBMHz1 Pentium 1BGHz1

More information

S1D13505F00Aデータシート

S1D13505F00Aデータシート PF982-02 Embedded RAMDAC LCD/CRT Controller 1 2 3 Power Management CLKI Oscillator SH-4 BUS WE0# BS# RD/WR# RD# A[20:0] CKIO WE0# RD/WR# AB[20:0] DB[15:0] WE1# BS# RD# M/R# CS# BUSCLK SUSPEND# A[21] CSn#

More information

7 7

7 7 7 7 w w AmbientTempAlm00 AmbientTempAlm02 AmbientTempAlm07 AmbientTempAlm09 BMC Unsync BMC0 Not Ready BMC1 Not Ready Cor0 +12vAlm 00 Cor0 +12vAlm 02 Cor0 +12vAlm 07 Cor0 +12vAlm 09 Cor0 +2.5vAlm 00 Cor0

More information

VR-509DN

VR-509DN VR-509DN LST0729-001C ... 4... 5... 8... 10... 45... 45... 46... 47... 48... 48... 49... 50... 51... 52... 52... 15... 16... 17 ON/OFF... 17... 18... 19... 20... 22... 24... 25... 26... 26... 27... 28...

More information

2

2 WV-CW960 2 3 4 5 6 7 8 9 10 11 SW1 S TA RT RS485Setting SW2 DIP SW1 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 DIP SW2 12 13 q w q e 14 15 16 17 18 19 ** RS485 SETUP ** UNIT NUMBER SUB ADDRESS BAUD RATE DATA BIT PARITY

More information

GM-F520S/GM-F470S/GM-F420S

GM-F520S/GM-F470S/GM-F420S GM-F520S GM-F470S GM-F420S LCT2504-002A-H 2 3 4 200 150 150 50 1 3 4 1 2 3 1 2 3 4 5 e 6 7 8 9 p q w r t 5 6 5 23 7 8 9 p q 4 5 6 7 8 9 2 3 4 5 5 23 6 7 8 9 w 1 2 e r t p p 5 6 9( 3 DVI-D (HDCP) RGB IN

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

untitled

untitled HF-520/HF-X20 CC-Link SI-C3/V-H Copyright 2014 2 1............................................................ 4 2.................................................................. 7 3..............................................................

More information

MAX7319 EV.J

MAX7319 EV.J 19-4043; Rev 0; 2/08 PART TYPE MAX7319EVKIT+ EV Kit DESIGNATION QTY DESCRIPTION C1, C5 C9, C17, C18, C37 9 0.1μF ±10%, 16V X7R ceramic capacitors (0603) TDK C1608X7R1C104K C2 0 Not installed, capacitor

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

oo oo - oo - oo - oo - oo - oo - oo +4-6 INPUT - oo - oo - oo +4-6 - oo - - oo oo - oo - oo - oo - - 8 4 3 36 4 48 6 oo oo - oo - oo - oo - oo - oo - oo - - WR-D4 SIGNA/PEAK +4-6 INPUT SIGNA/PEAK INPUT

More information

TK-S686_S686WP

TK-S686_S686WP TK-S686 TK-S686WP TK-S686 TK-S686WP LST0659-00B 2 ( ) T A 3 4 g g I _I I _I _ I_ I 5 A A B A B 6 7 A B C D E I H G F J K L N M A _ _ A B C J A K 8 D A B C D E A F O G A H S O R R P Q T I J A T A K A L

More information

CDR1000_J

CDR1000_J PROFESSIONAL AUDIO CD ORDER PROFESSIONAL AUDIO CD ORDER OPEN/ CLOSE PEAK HOLD TIME DISPLAY INPUT SELECT UTILITY LEVEL POWER MUTE UV22 REPEAT A-B SYNC AUTO INDEX INC TRACK INC 0 10 L R PHONES LEVEL ON /

More information

IMAT05-10

IMAT05-10 TG-150 Title Generator 1 st EDITION - Rev.4 [] [] [] [] [] ...1...1 1....3 1-1....3 1-2....3 2....4 2-1....4 2-2....5 3....6 3-1....6 3-2....6 4....7 4-1....8 4-2....9 5....12 5-1....12 5-2....12 6....13

More information

DB0

DB0 IRQ CS# A0 RD# WR# DB0- CPU I/F FIFO/RAM 88 Timing Control Key In Control Scan Counter SHIFT CNTl/STB RL0-# SL0- BD# RESET CLK Display RAM 8 Display Drive OUTB0- OUTA0- RL# RL# RL# RL# RESET RD# WR# GND

More information

unitech PA600 Rugged En PDA - RFID HF - unitech G Ver.1.2

unitech PA600 Rugged En PDA - RFID HF - unitech G Ver.1.2 unitech PA600 Rugged En PDA - RFID HF - unitech 400618G Ver.1.2 - 2009 Unitech Oracle Embedded Software Licensing Program FCC - i 16 PA600 1. 5V/2A AC USB DC 2. PA600 DC 8 SDRAM 60 C C C C ii PA600 RFID

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

h1_h4.ai

h1_h4.ai 01 02 03 04 05 PS RC RC CSR CSR CSR 10 11 14 15 400 350 300 250 200 150 100 50 0 2011/12 2012/02 2012/04 2012/06 2012/08 2012/10 2012/12 2013/02 2013/04 2013/06 2013/08 2013/10 2013/12 2014/02 2014/04

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

FH300d_表示器付きコントローラ_@E.Terminal for MC_ハードウェア編

FH300d_表示器付きコントローラ_@E.Terminal for MC_ハードウェア編 E.Terminal for MC ONL ERR UROM RUN ALM BAT 4:RUN 3:U-TERM 2:TERM 1:STOP CN7 SX-BUS IN CN5 CPU No. OUT + 24V DC - FG CF USER ROM CARD USB LOADER MJ1 MJ2 CN1 LAN U-B U-A Ethernet/RS-232C or RS-485 V5.4.2.0

More information

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD- Express5800/110Ee Pentium 1. Express5800/110Ee N8500-654 N8500-655 Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-ROM LAN Windows NT Server 4.0 Pentium 800EBMHz 1 (

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

untitled

untitled VDSL... 1... 1 ACTIVATE VDSL LOOPBACK.... 3 CREATE VDSL PROFILE... 4 DESTROY VDSL PROFILE... 6 DISABLE VDSL PORT... 7 ENABLE VDSL PORT... 8 RESET VDSL... 9 RESET VDSL CPE.... 10 RESET VDSL PORT... 11 SET

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

Microsoft Word - ArmadilloHard112a.doc

Microsoft Word - ArmadilloHard112a.doc HT1070 hardware manual Version 1.12 2005 年 3 月 20 日 http://www.umezawa.co.jp http://www.atmark-techno.com http://armadillo.atmark-techno.com Armadillo hardware manual ver.1.12 1. 1 2. 2 2.1. 2 2.2. 2

More information

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

Express5800/120Lc

Express5800/120Lc Workgroup/Department 1. N8500-371 CPU L1 L2 CD-ROM LAN OS OS (/450(512)) N8500-372 N8500-373 N8500-400 (/450(512)-25AWS) (/500(512)) (/450(512)-25AWE) StarOffice Exchange Pentium450MHz1 2 ( 72GB) 32KB

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information