スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1

2 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター ) 上澤史且 / サブリーダー ( ソニー ) 小林幸子 ( 東芝 ) 和田恵治 ( ローム ) 山本次朗 ( 日立製作所 ) - コンソーシアム - 須賀治 (EIDEC) -SEAJ 他 - 奥村正彦 / 国際委員 (SEAJ: ニコン ) 高橋和弘 (SEAJ: キヤノン ) 中島英男 (SEAJ:TEL) 山口哲男 (SEAJ: ニューフレアテクノロジー ) 笠間邦彦 (SEAJ: ウシオ電機 ) 大久保靖 (HOYA) 林直也 ( 大日本印刷 ) 森本博明 ( 凸版印刷 ) 大森克実 ( 東京応化工業 ) 栗原啓志郎 ( アライアンスコア ) 遠藤政孝 ( 大阪大学 ) 計 17 名 2

3 微細化の目的の 1 つはチップコスト削減 プロセスコストや開発コストが上がると 微細化の魅力がなくなってしまう 32G Byte SD カードの価格推移例 15,288 (2012/1/30) 7,487 (2012/7/30) 半年で半額に 3

4 NAND Flash メーカーの road map Now 微細化に依る Chip 面積縮小 19nm SADP 19nm 第 2 SADP 東芝, SanDisk 1z (17-16nm) SAQP Micron, Hynix 16nm SAQP Floating Gate 構造 微細化に依らない大容量化 東芝, SanDisk Samsung 3D (V-NAND ) 3D (BiCS) 24 層 Poly 64nm-hp Charge Trap SAQP 技術の量産適用が始まった 3D-NAND 技術が確立された ITRS は 12nm( 18) まで微細化が進み その後は 3D- NAND に移行すると予想 4

5 プロセスコスト面から EUVL が望ましい SAQP Flow 1 st Mask (Self Aligned Quadruple Patterning) レジスト 38nm L&S EUVL Flow λ=13.5nm NA = st Mask Etch Depo Etch Depo Etch Etch 3rd Cut Mask 2 nd Cut Mask Etch Etch 9.5nmL 28.5 nm S 9.5nm L&S マスク枚数 プロセス工程数の点で EUVL が有利 5

6 DRAM メーカーの road map Now 微細化に依る Chip 面積縮小 Micron 30nm 25nm (193i DP) 微細化に依らない大容量化 Samsung SK Hynix Micron (Elpida) 3D TSV ( サンプル ) 50~29nmhp 4~8 枚積層 TSV を用いた積層品のサンプルが出始めた まだ コスト面で汎用品向けではない DP の限界 (20nm) が要求されるのは 2017 年 ここまでに EUVL が間に合うか? トリプルパターニングあるいは積層? 6

7 Logic デバイス各社の微細化 road map Now nm: M1=hp45nm, M2,3=hp40nm (193i single pat.) Fin Pitch =60nm(SADP) 14nm: M1=hp32nm?, M2=hp28nm? Intel (193i Double Pat.) 10nm: M1=hp22nm?, M2=hp20nm? TSMC 20nm: M1=hp32nm (193i DP) (193i DP) 16nm: BEOLは 20nmと同じ M1=hp32nm Fin P.=48nm 10nm: 詳細??? M1=hp24nm?? (SADP) Global Foundry 20nm: M1=hp32nm (193i DP) 14nm: BEOLは 20nmと同じ M1=hp32nm 10nm: 詳細???M1=hp24nm?? (193i DP) ダブルパターニング (LELE) の量産適用が開始された Fin(SADP) が 最も厳しいピッチを要求するレイヤーとなった 7

8 デバイスメーカーの動向 NAND Flash SAQP の適用が開始された 3D NAND の量産が見えてきた DRAM TSV を用いた積層品のサンプル品が出始めた Logic LELE が本格的に用いられるようになった FIN が 最も微細なピッチを必要とする 193i マルチパターニングは コスト Up 要因 8

9 2013 年版 Litho Possible Options の区切り これまで テクノロジーノードを意識して区切っていたが 露光装置レンズの NA で決まる 193i(NA=1.35) と EUV(NA=0.33) の実用解像限界の Half Pitch で区切る様にした 9

10 Updated Flash & Fin Options Production Year Minimum 1/2 Pitch Minimum production half pitch in nm after multiplication by process (driven by finfet fins or Flash lines) nm to 20nm 193nm DP < 20nm to 15nm 193nm QP Research Required Development Underway Qualification / Pre-Producti Continuous Improvement 193 QP < 15nm to 11nm DSA EUV DP Imprint Narrow Options EUV DP DSA < 11nm to 8nm Imprint ML2 EUV extension such as high NA Narrow Options sub 8nm EUV DP EUV extension such as high NA DSA Extension Imprint ML2 Innovation Narrow Options 10

11 Updated MPU/DRAM Metal Options Production Year Minimum 1/2 Pitch Minimum lithographically defined half pitch in production in nm (driven by DRAM, MPU metal) nm to 20nm 193nm DP 193nm QP < 20nm to 15nm EUV DSA Narrow Options Research Required Development Underway Qualification / Pre-Producti Continuous Improvement 193 QP EUV DP < 15nm to 11nm EUV extension such as high NA DSA ML2 Imprint Narrow Options EUV DP EUV Extension such as high NA < 11nm to 8nm DSA ML2 Imprint Narrow Options sub 8nm EUV extension such as high NA and DP EUV QP DSA Extension ML2 Imprint Innovation Narrow Options 11

12 ダブルパターニングでの懸念点 ~ LE-LE 間の重ね合わせは 大丈夫? 193i 露光装置の重ね合わせ精度 SMO: Single Machine Overlay MMO: Mix and Match Overlay 12

13 ITRS2011 重ね合わせ精度 ITRS2013( 仮 ) 最終版では変わる可能性あり微細化 / ダブルパターニングに対応して 精度が向上している 13

14 EUVL の状況 最大の課題は 光源パワーの不足 プレ量産機 (NXE3100): 2011 年 ~6 台出荷 ペリクルの必要性が認識された 量産機 (NXE3300B) の出荷が始まっている 出荷済み :3 台, 残り 8 台が予定 NXE3300B による微細パターン形成例 doi: /

15 Cymer 社 EUV 光源 Road map の変遷 2009 EUVL Sympo EUVL Sympo EUVL Sympo. HVMⅠ >100W HVMⅠ >100W HVMⅠ 2011 EUVL Sympo. >200W 105W ( NXE3100 ) >400W >250W 250W (NXE3300B) >400W 350W HVMⅣ 2012 HVMⅠ 60W EUVL Sympo EUV 光源の状況 10~30W HVMⅡ HVMⅢ HVMⅡ HVMⅡ Now 50W HVMⅢ 80W HVMⅡ 250W 80W 125W 250W Cymer 50W キ カ フォトン 43W HVMⅢ 100Wが出てくるはずのタイミングを で示した W

16 EUVL 高 NA(>0.5) 号機はいつ? λ 13.5nm NA hp(nm) NXE3100 NXE3300B ITRS では 高 NA 号機が 2021 年に必要だと予測している 高 NA 露光機のリリース計画は 明確になっていない 高 NA の実現にはマスク倍率を変える必要があり マスクサイズを大きくするか フィールドサイズ ( ショットサイズ ) を小さくするか の選択をデバイスメーカー マスクメーカー 装置 / 材料メーカー間で合意する必要がある 現状 アンドが取れていない 16

17 マスクレスリソ (ML2) の状況 MAPPER 社 Matrix 計画 ( 処理能力 ) wph wph KLA 社 REBL doi: / doi: / doi: / マルチビーム / マルチコラム / クラスター化で 100wph の実現を目指す 17

18 Nano Imprint の状況 剥離剤レシ スト UV 光 密着露光 現状欠陥密度数個 /cm2レベル重ね合わせ 8nm スループット目標 20 枚 /h 台 doi: / 欠陥密度への要求が比較的緩い NAND フラッシュデバイスへの適用が見込まれる 18

19 DSA(Directed Self Assembly) の状況 今は 欠陥レベルの低減を目指している段階 DSA パターンの位置精度の制御性が未知 単純な繰り返しパターン以外への適用には DSA 固有のデザインルールや設計ツールが必要 ( 長期的な課題 ) ( 件 ) ( 年 ) doi: /

20 DSA(Directed Self Assembly) の状況 微小ホールパターン形成 Fin 形成が最初の適用事例か doi: /iedm doi: /

21 450mm 化の状況 193i EUV G450C 量産機 IMEC 2018 量産機 Pilot Line は と IMEC で構築中 G450C: Intel, TSMC, GLOBALFOUNDRIES, IBM, Samsung 現状では Nano imprint と DSA 技術を用いて パターン形成評価が進められている 21

22 まとめ 193i Quadruple パターニングの適用が開始された 3D NAND, 積層 DRAM が出始めている 193i マルチパターニングによる微細化はコスト up を伴う EUVL 露光機 ( 量産対応 ) の出荷が始まった EUV 光源のパワー up が望まれる ML2, NIL, DSA も着実に進歩している スループット 欠陥 Overlay の実証が必要 22

23 略語 AIMS Aerial Image Measurement System AMC Airborne Molecular Contamination ARC Anti-Reflection Coating BARC Bottom ARC TARC Top ARC CAR Chemical Amplified Resist CD Critical Dimension CDU CD Uniformity DE Double Exposure DFM Design for Manufacturing/ Design for Manufacturability DP/MP Double Patterning / Multiple Patterning DPP Discharged Produces Plasma DSA Directed-Self-Assembly DOF Depth of Focus EBDW Electron Beam Direct Writer EDA Electronic Design Automation EPL Electron Projection Lithography ESD Electro Static Discharge EUVL Extreme Ultraviolet Lithography IPL Ion Projection Lithography LDP Laser assisted Discharge Plasma LELE Litho-Etch-Litho-Etch (1kind of DP) LER Line Edge Roughness LPP Laser Produced Plasma LTEM Low Thermal Expansion Material LWR Line Width Roughness MEEF Mask Error Enhancement Factor (=MEF) ML2 Maskless Lithography NA Numerical Aperture NGL Next Generation Lithography NIL NanoImprint Lithography NTD Negative Tone Development OAI Off-Axis Illumination OPC Optical Proximity Corrections RBOPC Rule Base OPC MBOPC Model Base OPC PSM Phase Shifting Mask cpsm complementary PSM APSM Alternating PSM EPSM Embedde d PSM Att. PSM Attenuated PSM PXL Proximity X-ray Lithography RET Resolution Enhancement Techniques SADP Self Aligned DP SAQP Self Aligned Quadruple Patterning SB Scattering Bar (same meaning as SRAF) SRAF Sub Resolution Assist Feature SFIL Step & Flash Imprint Lithography SMO Source Mask co-optimization UV-NIL Ultraviolet NIL 23

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

フォトポリマー懇話会

フォトポリマー懇話会 半導体向け EUV リソグラフィの現状と展望 株式会社東芝セミコンダクター & ストレージ社半導体研究開発センターリソグラフィプロセス技術開発部内山貴之 2015 Toshiba Corporation 内容 1. はじめに デバイスのスケーリングとリソグラフィ技術 次世代リソグラフィ技術の現状と課題 2. EUV リソグラフィの概要 EUV リソグラフィの課題 高 NA-EUV リソグラフィ 3.

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

第7章 WG5 リソグラフィ

第7章 WG5 リソグラフィ 第 6 章 WG5 リソグラフィ 6-1 はじめに 2008 年度 WG5( リソグラフィ WG) では ITRS2008update 版の作成に向けて 技術世代に対応した解決策候補 (Potential Solutions) の技術調査 各種テーブルの見直し クロスカット活動などを行うとともに 2009 版の作成指針を絞り込んだ Lithography itwg は SEMATECH から出ているリーダーの下

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

PowerPoint Presentation

PowerPoint Presentation 1 Litho 計測の現状と課題 ( 計測 WG) & 故障解析 SWG 活動状況報告 2 Litho 計測の現状と課題 ( 計測 WG) メンバー 河村栄一 ( 富士通マイクロエレクトロニクス ) 山崎裕一郎 ( 東芝 ) 上澤史且 (SONY) 清水澄人 ( パナソニック ) 横田和樹 (NECEL) 市川昌和 ( 東京大学 ) 水野文夫 ( 明星大学 ) 小島勇夫 ( 産総研 ) 池野昌彦 (

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

スライド 1

スライド 1 モデリング & シミュレーションの 現状と経済的効果 モデリング / シミュレーション WG (WG10) 佐藤成生青木伸俊 國清辰也 木村光紀 泉直希 麻多進 海本博之 林洋一 藤原秀二 西尾修 中村光利 小方誠司 和田哲典 佐野伸行 三浦道子 大野隆央 谷口研二 小谷教彦 STRJ WS: March 6, 2009, WG10 1 構成メンバー 氏名組織役割主担当領域 佐藤成生 富士通マイクロエレクトロニクス主査

More information

Main-Title

Main-Title 平成 19 年 5 月 30 日 記者説明会 次世代半導体微細加工技術が実現可能に ~ 国内 EUV リソグラフィ技術開発本格化へ解像度 26nm を達成 ~ 技術説明 第三研究部 森一朗 1 EUVL 技術開発フェーズへの認識 基礎研究 φ1 Feasibility study ASET, MIRAI1/2, EUVA, Leading PJ 基礎研究要素開発 量産を目指した基盤技術開発 MIRAI3

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

2001 Format for ITRS

2001 Format for ITRS INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 年版 リソグラフィ THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL

More information

先端テクノロジにおけるDFM取り組み事例 ~ファブレスとEDAベンダ及び外部ファブとの関係~

先端テクノロジにおけるDFM取り組み事例 ~ファブレスとEDAベンダ及び外部ファブとの関係~ 先端テクノロジにおける DFM 取り組み事例 ~ ファブレスと EDA ベンダ及び外部ファブとの関係 ~ 2014 年 7 月 18 日富士通セミコンダクター ( 株 ) 共通テクノロジ開発センター第三設計技術部花蜜宏晃 Copyright 2014 FUJITSU SEMICONDUCTOR LIMITED 目次 富士通セミコンダクターのご紹介 DFMの位置付け 事例 1: ダブルパターニング層に対するリソグラフィ検証の効率化

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

先端ナノパターニング材料 プロセス国際ワークショップ参加申込書 氏名所属住所 TEL 懇親会参加します 参加しません ( いずれかを消して下さい )

先端ナノパターニング材料 プロセス国際ワークショップ参加申込書 氏名所属住所 TEL  懇親会参加します 参加しません ( いずれかを消して下さい ) 先端ナノパターニング材料 プロセス 国際ワークショップ 大阪大学産業科学研究所田川精一 早稲田大学理工学術院 総合研究所鷲尾方一 謹啓 半導体デバイスの微細化は進展を続けており 本年は MPU DRAM デバイスでは 32nm NAND フラッシュデバイスでは 22nm 以下のそれぞれ最小ハーフピッチパターンが求められています 2015 年にはそれぞれ 22nm 16nm 2018 年にはそれぞれ

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining

Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining I Academic English I Lesson 11 Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining Machining 1 primary forming process secondary

More information

<4D F736F F D20838A835C834F CC B94C52D32>

<4D F736F F D20838A835C834F CC B94C52D32> 第 2 編リソグラフィ WG 第 1 章はじめに 1-1 背景 ITRS ならびに STRJ のロードマップには デバイスメーカ主体の観点で今後のデバイスの将来像が描かれている これはその将来のデバイスの実現のために それぞれの技術研究開発を集約し市場にデバイスが供給可能な体制をいつまでに整える必要があるかを明示している 図 2-1-1 デバイス量産立ち上がりカーブ Figure 2-1-1 Production

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

untitled

untitled UP 2008/2/16 20080216 UP 1. 28% SFA 2. 3. 2008/02/16 (C)2008 2 1 UP 2008/2/16 20081 () ABC 20078 MR MR 2008/02/16 (C)2008 3 2008/02/16 (C)2008 4 2 UP 2008/2/16 1. 2. 3. 4. 5. 6. 2008 2008/02/16 (C)2008

More information

Spansion_Corporate_Presentation

Spansion_Corporate_Presentation 世界の半導体動向と 生き残りをかけた日本の半導体 株式会社スパンション イノベイツ デザイン代表取締役社長兼スパンション イノベイツ株式会社技術本部長代理独古康昭 1.Oct. 2013 1 2013 SIDL. 世界の半導体半導体動向 2 2013 SIDL. 半導体会社構造 Business R&D Products Customer Strength Weakness Model Fabless

More information

Tornado Series selection SW TiCN HSS Co FAX VL PM

Tornado Series selection SW TiCN HSS Co FAX VL PM Metal Band Saw Blades Tornado Series selection SW TiCN HSS Co FAX VL PM Selection Chart Selection Chart Solids 3 Selection Teeth 4 note 1) Structurals, Tubing H section steels Light gauge steels Tube Products

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt ITRS 2013 年版の概要と ITRS の編集方針 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長石内秀美 (( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません 1 主要略語一覧 (Glossary) ERD: Emerging Research

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

スライド 1

スライド 1 LER/LWR WG4 /WG5 /WG6(PIDS)/WG11 65nm WG11 1 LER/LWR 2004 UPDATE LER/LWR 2 1. LER/LWR 2. * * 3. 4. * Lithography/PIDS/Interconnect 5. 3 1. LER/LWR Line-Edge Roughness (LER) L y Line-Width Roughness (LWR)

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 42, No. 1, 2008 Li 2 B 4 O 7 (LBO) *, ** * ** ** Optical Scatterer and Crystal Growth Technology of LBO Single Crystal For Development with Optical Application

More information

06-渡辺広明.indd

06-渡辺広明.indd 1 12 2 5 2 DVD 1995 QV1 3 268 4 17 42212 5 6 2 8 CIPA 12 7 1 2 2 1 23 4 25 6 1 27 18 1 2 9 121 1 2 2 2 43 23 2.8 1 2 1 4 1 5 5 CIPA 211 9 12 18 1 6 13 1 7 7 29 25 1 6 1 1 2 21 1 117 2 16 3 SDIC1 4 5 67

More information

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63>

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63> 技術紹介 6. イオンビームスパッタリング法によるエキシマレーザ光学系用フッ化物薄膜の開発 Development of fluoride coatings by Ion Beam Sputtering Method for Excimer Lasers Toshiya Yoshida Keiji Nishimoto Kazuyuki Etoh Keywords: Ion beam sputtering

More information

[Opening Session]

[Opening Session] PMJ2016 学会報告 1. はじめに PMJ2016 論文委員長大日本印刷株式会社吉岡信行 2016 年 4 月 6 日から 8 日にかけてパシフィコ横浜で PMJ2016 学会が開催された 以下に PMJ2016 学会についての報告を行う 2. 投稿論文数と傾向 PMJ2016 では全体で 63 件の発表が行われた そのうち Oral 発表が 27 件 Poster 発表が 26 件 招待講演が

More information

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc.

< コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 DOC#: GPD Copyright Gigaphoton Inc. < コマツ IR-DAY 2017 事業説明会 > ギガフォトンについて 2017 年 9 15 ギガフォトン取締役常務執 役員榎波 雄 Copyright Gigaphoton Inc. ギガフォトンの事業概要 2 半導体露光 光源ビジネス 液晶アニール 光源ビジネス 本体販売先 部品販売先 ASML, Nikon, Canon Intel, Toshiba, Samsung, TSMC など半導体メーカ

More information

メタルバンドソー

メタルバンドソー Metal Band Saw Blades Tornado Series selection TiCN HSS Co FAX FMX PM VL Selection Chart Selection Chart Solids Selection Teeth 3 note 1) Structurals, Tubing H section steels Light gauge steels Tube 4

More information

オープン・イノベーション時代におけるCTI

オープン・イノベーション時代におけるCTI INTELLIGENCE MANAGEMENT Vol.1, No.1 / 2009 47 48 INTELLIGENCE MANAGEMENT Vol.1, No.1 / 2009 49 50 I NTELLIGENCE M ANAGEMENT Vol.1, No.1 / 2009 10年先行する科学的研究を行うため 84年に地元大学を しである 市況悪化に伴う業績不振により 半導体各社 拠点とする特定非営利活動法人としての研究機関を設立

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 CD X Axis [mm] Y Axis [mm] - - Magnification: 150k (0, -30mm) 26 nm 24 nm 1 26 nm 26 nm (30mm, 0) Center (0, -30mm) 48 nm (0, 30mm) 48 nm 48 nm 48 nm 48 nm E-beam resist Resist image Silicon mold Dose

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 OLED Display Industry Report 2018 SAMPLE 2018.02 1 OLED Display Industry Report 本レポートは OLED ディスプレイをリジッド フレキシブル ソリューションプロセスに分類した上 各章を 6 つの節 * に分けて図表化 記述し 顧客企業における今後の開発方向と事業戦略の策定 投資 トレンド分析などに活用できることを目的とする

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

JAIST Reposi Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu

JAIST Reposi   Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: Issu JAIST Reposi https://dspace.j Title プロジェクトの連続性を考慮した半導体分野プロジェ クト群の評価手法に関する一考察 Author(s) 工藤, 祥裕 ; 有馬, 宏和 ; 佐藤, 義竜 Citation 年次学術大会講演要旨集, 24: 661-666 Issue Date 2009-10-24 Type Conference Paper Text version

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG6(PIDS 及び RF&AMS) 活動報告 ITRS2.0~ デバイス微細化の終焉と 3D Functional Scaling STRJ WS 2015 2016 年 3 月 4 日品川 : コクヨホール WG6 主査 : 福崎勇三 ( ソニー ) WG6 副主査 : 井上裕文 ( 東芝 ) Work in Progress - Do not publish STRJ WS: March 4,

More information

広報さがみはら第1242号

広報さがみはら第1242号 LINE UP 3 1 5 6 1 NO.1242 S A G A M I H A R A 1 1 1 16 16 1 6 1 6 1 6 1 1 1 1 1 11 1 1 1 1 1 1 6 1 6 1 1 1 1 1 1 1 1 11 1 1 16 1 1 1 6 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 6 1 16 1 16 1 6 1 1 1 1 1 1

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

03_委託テーマ発表資料(その2)(p.89-p.134).pdf

03_委託テーマ発表資料(その2)(p.89-p.134).pdf 89 MEMS 2 / 5-0 0-20 90 3 Beyond-CMOS CNT CNT CNT NEC 4 NEDO (80 NEDO 2008.05 Nature Nanotechnology NEDO (8 22 CNT CNT NEDOPJ CNT NEDO M 3 5 Nature Nanotechnology 3, 289-294 (2008) 6 9 7 8 92 9 (!!! '!!!

More information

取扱説明書 [F-12C]

取扱説明書 [F-12C] F-12C 11.7 1 2 3 4 5 6 7 8 9 10 11 12 13 14 a bc b c d d a 15 a b cd e a b c d e 16 17 18 de a b 19 c d 20 a b a b c a d e k l m e b c d f g h i j p q r c d e f g h i j n o s 21 k l m n o p q r s a X

More information

Microsoft Word - 0-1_Ishiuchi.doc

Microsoft Word - 0-1_Ishiuchi.doc 第 13 章 WG11 メトロロジ ( 計測 ) 13-1 はじめに 2006 年は WG11の活動が YE(Yield Enhancement) Metrology WECC(Wafer Environmental Contamination Control) と3の分野の領域分け鮮明になってきたが リソース的制限の中で metrologyの2006 年の報告として 1ITRS2006のUpdateの説明と

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

Readout No.47_05_特別寄稿

Readout No.47_05_特別寄稿 GGuest Forum Forum 半導体製造技術の最新動向と計測制御技術特別寄稿 特別寄稿 半導体製造技術の最新動向と計測制御技術 Current Device Processes and Required Sensing & Control Technologies in Semiconductor Chip Manufacturing 榎並弘充 Hiromichi ENAMI 株式会社日立ハイテクノロジーズ

More information

ナノ世代物理設計 WG ナノ世代テクノロジに向けた新しい タイミングコーナーの考え方 ~ 微細化における設計対策 ~ 赤嶺武一郎 ( 富士通セミコンダクター ) Fabless 時代の DFM を問う ~ 設計と製造をいかに補完し合うか ~ 黒川敦 ( 弘前大学 ) システムデザインフォーラム 20

ナノ世代物理設計 WG ナノ世代テクノロジに向けた新しい タイミングコーナーの考え方 ~ 微細化における設計対策 ~ 赤嶺武一郎 ( 富士通セミコンダクター ) Fabless 時代の DFM を問う ~ 設計と製造をいかに補完し合うか ~ 黒川敦 ( 弘前大学 ) システムデザインフォーラム 20 ナノ世代物理設計 WG ナノ世代テクノロジに向けた新しい タイミングコーナーの考え方 ~ 微細化における設計対策 ~ 赤嶺武一郎 ( 富士通セミコンダクター ) Fabless 時代の DFM を問う ~ 設計と製造をいかに補完し合うか ~ 黒川敦 ( 弘前大学 ) システムデザインフォーラム 2012 NPD-WG 主査 : 山中俊輝 ( リコー ) 1 組織図 半導体技術委員会 (JEITA/

More information

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード]

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード] 半導体メモリが新応用を開拓した例 集積デバイス工学半導体メモリ 2010 年 5 月 14 日東京大学大学院工学系研究科電気系工学竹内健 E-mail : takeuchi@lsi.t.u-tokyo.ac.jp http://www.lsi.t.u-tokyo.ac.jp p y jp アップル社の ipod nano 2005 年 9 月発売 フラッシュメモリの記憶容量によって価格の異なるラインアップ

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

東海大学紀要 情報通信学部.indd

東海大学紀要 情報通信学部.indd vol.,no1,011,pp.19-5 論文 λ 0.18µ TEG *1 *1 * * The Implementation Trial and the TEG Chip Development o The Lambda Rule Scalable Cell Libraries to Rohm0.18µm Process by Takuya HIGUCHI Takuya Higuchi, *1,

More information

記者発表開催について

記者発表開催について 2014 年 6 月 4 日 東京工業大学広報センター長大谷清 300mm ウエハーを厚さ 4µm に超薄化 -DRAM で検証 超小型大規模三次元メモリーに威力 - 概要 東京工業大学異種機能集積研究センターの大場隆之特任教授は ディスコ 富士通研究所 PEZY Computing( ペジーコンピューティング 東京都千代田区 ) WOW アライアンス ( 用語 1) と共同で 半導体メモリー (DRAM)

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

untitled

untitled 1 4 4 6 8 10 30 13 14 16 16 17 18 19 19 96 21 23 24 3 27 27 4 27 128 24 4 1 50 by ( 30 30 200 30 30 24 4 TOP 10 2012 8 22 3 1 7 1,000 100 30 26 3 140 21 60 98 88,000 96 3 5 29 300 21 21 11 21

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

表 1 ポリエチレン, ポリプロピレンにおける多品種生産の国際比較 -,,,

表 1 ポリエチレン, ポリプロピレンにおける多品種生産の国際比較 -,,, 査読論文 石油化学工業における多品種大量生産プロセスの成立と展開 ポリプロピレン生産プロセスを事例に * 中村真悟 要旨 キーワード : * / E-mail nakamura-s@tortuga.sakura.ne.jp 表 1 ポリエチレン, ポリプロピレンにおける多品種生産の国際比較 -,,, 1. チーグラー触媒の発見とプロピレン生産プロセスへの応用 I.C.I., I.C.I. I.C.I.

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

VLSI はすべての IT の要 ほぼすべての IT 機器 システムのの性能は VLSI が決めている Town VLSI は今も進歩を続けている 今後 年は間違いなくシリコンが主役 CMOS は必ずしも最速ではない集積度 信頼度を含めた総合力 将来の高度 IT の要求に応えるにはさらな

VLSI はすべての IT の要 ほぼすべての IT 機器 システムのの性能は VLSI が決めている Town VLSI は今も進歩を続けている 今後 年は間違いなくシリコンが主役 CMOS は必ずしも最速ではない集積度 信頼度を含めた総合力 将来の高度 IT の要求に応えるにはさらな 1 2005 年 5 月 11 日電子 情報技術ロードマップ成果報告会 平本俊郎東京大学生産技術研究所 1. はじめに : 半導体分野を取りまく状況 2. 半導体分野の特徴 3. 本半導体ロードマップの特徴 4. ロードマップの 2 つの柱 4.1. 低消費電力電力 システム LSI 基盤技術 4.2. SoC 開発 / 製造工程のエンジニアリング 5. まとめ VLSI はすべての IT の要 ほぼすべての

More information

fj111_109

fj111_109 15 1 111 Super Low-Loss / Super High-Density Multi-fiber Optical Connector * * * *2 Katsuki Suematsu Masao Shinoda Takashi Shigenaga Jun Yamakawa *2 *3 *3 Masayoshi Tsukamoto Yoshimi Ono Takayuki Ando

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

LSI LSI Logic Detection by using Laser Probing Pad

LSI LSI Logic Detection by using Laser Probing Pad LSI LSI Logic Detection by using Laser Probing Pad LPP : Laser Probing Pad() 1 3p 3p 3p 2 4p 2.1 4p 2.2 5p 2.2.1 G6p 2.2.2 7p 2.3 8p 2.4 9p 3 10p 3.1 10p 3.2 11p 4 LPP 13p 4.1 13p 4.2 14p 4.3 15p 4.4 15p

More information

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( )

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( ) ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : 23 1 26 : ( ) ii 1. Nagata DLL 1 2. Nagata 1 3. VObj 2 3. 1............................................... 2 3. 2.................................................

More information

「○○技術開発」

「○○技術開発」 マスク設計 描画 検査総合最適化技術開発 事後評価報告書 平成 22 年 11 月 独立行政法人新エネルギー 産業技術総合開発機構 研究評価委員会 平成 22 年 11 月 独立行政法人新エネルギー 産業技術総合開発機構理事長村田成二殿 独立行政法人新エネルギー 産業技術総合開発機構研究評価委員会委員長西村吉雄 NEDO 技術委員 技術委員会等規程第 32 条の規定に基づき 別添のとおり評価結果について報告します

More information

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は

PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は PMJ2018 学会報告 PMJ2018 論文委員長 レーザーテック株式会社武久究 1. はじめにフォトマスクに関する国内唯一の国際学会である PMJ の今年の学会 (PMJ2018) は 2018 年 4 月 18 日から 20 日の3 日間 パシフィコ横浜において開催された 今年の PMJ は 25 回目ということで 記念大会として特別セッションを設け バンケットは例年より長めに設定した 以下

More information

Fig.2 Optical-microscope image of the Y face-cross sec- tion of the bulk domain structure of a 0.4-mm-thick MgO-LiNbO3 crystal after chemical etching.

Fig.2 Optical-microscope image of the Y face-cross sec- tion of the bulk domain structure of a 0.4-mm-thick MgO-LiNbO3 crystal after chemical etching. Blue EGreen Solid State Lasers Using MgO-LiNbO3 Periodic Domain Inverted Bulk Crystal and Their Applications Koji KAMIYAMA, Yoji OKAZAKI, and Akinori HARADA Fuji Photo Film. Co., Ltd., Miyanodai Technology

More information

DiovNT

DiovNT トピックス X 線露光技術の現状 田口孝雄, 松井安次 技術研究組合 超先端電子技術開発機構 Advances in Proximity X-ray Lithography Takao TAGUCHI and Yasuji MATSUI Association of Super-Advanced Electronics Technologies (ASET) This article reviews

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

スライド 1

スライド 1 Work in Progress - Do not publish STRJ WS: March 4, 2011, WG3 FEP 1 STRJ WG3(FEP) 活動報告 - 今後の FEP 技術 - 2011 年 3 月 4 日 北島洋 ( ルネサスエレクトロニクス ) Work in Progress - Do not publish STRJ WS: March 4, 2011, WG3 FEP

More information

SICE東北支部研究集会資料(2004年)

SICE東北支部研究集会資料(2004年) 219 (2004.11.05) 219-4 Development of a 3D Range Sensor Based on Equiphase Light-Section Method KUMAGAI Masaaki * *Tohoku Gakuin University : (Vision sensor), (3-D range sensor), (Light-section method),

More information

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU PIV IHI Marine United Inc. ( IHIMU ) has already developed several

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch 110 : 565-0871 2-1 567-0871 11-1 660-0811 1-9 - 1 tanigawa@jwri.osaka - u.ac.jp Influence of Laser Beam Profile on Cladding Layer TANIGAWA Daichi, ABE Nobuyuki, TSUKAMOTO Masahiro, HAYASHI Yoshihiko, YAMAZAKI

More information

目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10

目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10 目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10. 参加費 6 11. 参加申込要領 7 12. キャンセル規定 8 13. 宿泊施設のご案内 8 14.

More information

第122号.indd

第122号.indd -1- -2- -3- 0852-36-5150 0852-36-5163-4- -5- -6- -7- 1st 1-1 1-2 1-3 1-4 1-5 -8- 2nd M2 E2 D2 J2 C2-9- 3rd M3 E3 D3 J3 C3-10- 4th M4 E4 D4 J4 C4-11- -12- M5 E5 J5 D5 C5 5th -13- -14- NEWS NEWS -15- NEWS

More information

Laser Ablation Dynamics of Amorphous Film of a Cu-Phthalocyanine Derivative Masahiro HOSODA*,**, Hiroshi FURUTANI*,**. Hiroshi FUKUMURA*,** Hiroshi MASUHARA*, Masanobu NISHII*** Nobuyuki ICHINOSE**,***,

More information

SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod

SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod Encore SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod 1970 198030 9020 90 80 10 1980 1990PC 2000 10 ABS ETC ECO 102 40 10GIPS 24 MCU 90nm 65nm 1990 21 1990 200mm501000 300mm 3200mm7 3,500 450mm 8,000 200mm16 1990

More information

1

1 2-2 Atom Optics and Atom Lithography OHMUKAI Ryuzo and WATANABE Masayoshi High-resolution atomic channeling using velocity-selected atoms may be able to overcome precision limitations of the conventional

More information

1 Visible spectroscopy for student Spectrometer and optical spectrum phys/ishikawa/class/index.html

1 Visible spectroscopy for student Spectrometer and optical spectrum   phys/ishikawa/class/index.html 1 Visible spectroscopy for student Spectrometer and optical spectrum http://www.sci.u-hyogo.ac.jp/material/photo phys/ishikawa/class/index.html 1 2 2 2 2.1................................................

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

研究成果報告書(基金分)

研究成果報告書(基金分) 25 6 7 (EUV) 2 34 nm L/S Rate constants for the reaction of the electrons with triphenylsulfonium triflate (TPS-Tf) and pinanediol monosulfonates, which consist of tosylate (PiTs) or 4-trifluoromethylbenzenesulfonate

More information