このスライドは以下の URL からダウンロード可能です 2

Size: px
Start display at page:

Download "このスライドは以下の URL からダウンロード可能です 2"

Transcription

1 計算機学 1

2 このスライドは以下の URL からダウンロード可能です 2

3 参考書の紹介 計算機学入門デジタル世界の原理を学ぶ阿曽弘具著共立出版 2,900 以前教科書だった本の改訂版 講義の内容と一致しており 説明は詳しい CPU の創りかた渡波郁著毎日コミュニケーションズ 2,940 個人的おすすめだが講義の前半部分しかカバーしていない ソフトウェアの 20 世紀長谷川裕行著翔泳社 2,400 コンピュータとソフトウェアの歴史 講義と直接の関連はないが面白い コンピュータはなぜ動くのか矢沢久雄著日経 BP 社 2400 一般向け 内容は浅く広く わかりやすい 3

4 講義の流れ (1) イントロダクション ( 今回 ) コンピュータの歴史 2 進法 8 進法 16 進法 ハードウェアの原理 論理関数と論理回路 論理関数の簡単化 論理関数の数学 ブール代数と代数系 論理関数の様々な性質 4

5 講義の流れ (2) ソフトウェアの原理 CPU と機械語 アセンブリ言語と高級言語 データ構造とその操作 計算のモデルと計算量 試験 5

6 計算機 ( コンピュータ ) とは何か 誰が何のために作ったのか 計算機とは ( 原理的に ) 何なのか 現在どのように使われているのか それはどのように実現されているのか 6

7 誰が : 計算機をめぐる年表 17 世紀 ブレース パスカル ( ) 考える葦の人 世界で初めて 計算機 (calculator) を試作 名前は パスカリーヌ 7

8 誰が : 計算機をめぐる年表 17 世紀 ゴットフリート ウィルヘルム フォン ライプニッツ ( ) 2 進法の発明者 自動的に真なる概念を導くための計算方法 を研究 計算機も試作 ニュートンとは永遠のライバル 8

9 誰が : 計算機をめぐる年表 19 世紀 チャールズ バッベジ ( ) 2 つの自動計算機械を設計 階差機関 (Difference Engine) 解析機関 (Analytical Engine) でも完成しなかった 9

10 Babbage の解析機関 世界初の機械式計算機 加減乗除算 あらかじめ決められた順序で計算する 結果の印字 10

11 誰が : 計算機をめぐる年表 世界初のプログラマ (Translator) エイダ オーガスタ ラブレス公爵夫人 ( ) 詩人バイロンの娘 ド モルガンに師事 チャールズ バッベジを支援した 不遇な晩年 11

12 誰が : 計算機をめぐる年表 20 世紀 アラン チューリング ( ) 自動機械による証明についての理論的考察 実際に計算をする 機械 を想定 ( チューリングマシン ) 計算の可能性についての理論を構築 暗号解読器 コロッサス の開発に参加 不遇な晩年 12

13 誰が : 計算機をめぐる年表 20 世紀 エッカート & モークリー (UPENN) 世界初の ( 実用化された ) 電子式コンピュータ ENIAC を開発 弾道計算に特化した計算機 モークリー氏 13

14 これが ENIAC だ 真空管 本 コンデンサ 個 スイッチ 6000 個 ケーブルの接続で実行を制御 14

15 何のために? 正しい推論のため ( ライプニッツ ) 数表を作るため ( バッベジ ) 計算 とは何かを考えるため( チューリング ) 弾道計算のため ( エッカート & モークリー ) 15

16 原理的に何なのか? 計算モデル : 原理的に何が計算できるかを考えるための数学モデル コンピュータを抽象化したもの 速度やメモリ容量などの制約を考えない さまざまな計算モデル チューリングマシン (TM) ランダムアクセスマシン (RAM) 帰納的関数 どれも 計算可能 なものは同じ ( すなわち どれか 1 つで別な 1 つのエミュレータを書くことができる ) 16

17 チューリングマシン 仮想的な計算機械 by Alan Turing 状態 ヘッド A = 1 0 ; B = A + 2 ; F テープ 17

18 ランダムアクセスマシン 現在のコンピュータに近い 計算部とメモリがある メモリは必要に応じていくらでも大きい どのメモリにアクセス ( 読み書き ) するときにもかかる時間は同じ ( ランダムアクセス ) 計算部 無限に大きいメモリ 番目のメモリの値と 番目のメモリの値を足す 基本的な計算はどれも一定時間で計算される 18

19 現在どのように使われているのか? 汎用の何でも機械として 電話 ごはんの炊け具合を監視する お部屋の温度をいい具合に調節する 大きいお友達のゲーム テレビを録画する あるいはテレビそのもの 19

20 どのようにして実現されるのか? コンピュータの実現のための階層 ソフトウェア アプリケーション MS-Wordとか プログラミング言語 C, C++, Java, Ruby, Python,... OS Windows, MacOS, ios, Linux, Android,... ハードウェア CPU IA32, x86-64, ARM, MIPS, PowerPC, PIC,... 論理回路 機能ブロック レジスタ ALU メモリ... 論理ゲート AND, OR, NOT,... 電子回路 トランジスタ 真空管 リレー... 階層間は ( ほぼ ) 独立 論理回路の階層には ( 現在のところ )alternative はない 20

21 この講義では何をするのか 1. 計算機の動作原理を理解するための論理回路の理解 ( 主に組み合わせ論理回路 ) ( 進んだ内容は ディジタルコンピューティング の範疇 ) 2. それに伴う論理演算 論理関数の理解 3. 計算機のネイティブな動作 ( 機械語 ) の理解 4. 抽象的な計算機としての計算モデルの理解と 計算量についての初歩的な理解 21

22 あらゆる計算が 1 と 0 で実現できる 10 進法の数字 2 進法の数字 (1と0) 文字 数字 文字列 数字列 = 数字 画像 数字 音声 数字 その他のデータ 数字 計算機が行うすべての処理は 1 と 0 の列に対する処理である 22

23 10 進法 2 進法 8 進法 16 進法 10 進 2 進 8 進 16 進 10 進 2 進 8 進 16 進 A B C D E F 23

24 10 進 2 進 16 進数の変換 例題 108 (10) を 2 進法で表せ 解答 ある数 x を 2 進数で表したとき それが偶数ならば下 1 桁は 0 奇数ならば 1 になる したがって 2 で割った余りを計算すれば 2 進数の最下桁が求まる 以下同様 2 ) ) ) ) ) ) (2) 24

25 10 進 2 進 16 進数の変換 例題 (2) を 10 進法で表せ 解答 2 進数の n 桁目だけが 1 である数は 2 n-1 である したがって = = = = =50 (10) 25

26 10 進 2 進 16 進数の変換 例題 (2) を 16 進法で表せ 解答 16 進数の 1 桁は 2 進数の 4 桁にそのまま対応する ただし下から割り当てていく B 2 5B2 (16) ちなみに 8 進数 1 桁は 2 進数 3 桁に対応する 26

27 演習 次の 2 進数を 8 進数と 16 進数で表せ 次の 10 進数を 2 進数と 16 進数で表せ

28 2 進数の演算 加算 0+0=0, 0+1=1+0=1, 1+1=10 減算 0-0=0, 0-1=-1, 1-0=1, 1-1=0 乗算 0 0=0 1=1 0=0, 1 1=1, 1 10=10 1=10, 10 10=100, 10 11=11 10=110,... これらは 算術演算 と呼ばれる 28

29 2 進数の演算 どんなデータも0と1で表せる すべての計算は01の列に対する関数で表現で きる f ( )= 例 f (00)=0 f (01)=1 f (10)=1 f (11)=1 入力の桁数が有限ならば入力のパターンも有限 すべての入力に対して出力を決めれば関数が定義できる 29

30 基本的な論理関数 ( 論理演算 ) AND 演算 ( 論理積 ) 0 0=0 0 1=0 1 0=0 1 1=1 OR 演算 ( 論理和 ) 0+ 0=0 0+ 1=1 1+ 0=1 1+ 1=1 x y, x y, x y 2 つの引数の両方が 1 の場合だけ 1 x+ y, x y 2 つの引数の両方が 0 の場合だけ 0 30

31 基本的な論理関数 ( 論理演算 ) NOT 演算 ( 否定 ) 0=1 1=0 x, x XOR 演算 ( 排他的論理和 ) 0 0=0 0 1=1 1 0=1 1 1=0 ~ x y, x y 2 つの引数が異なる場合だけ 1 実は AND,OR,NOT で作れる x y= x y+ x y 31

32 組み合わせて作れる論理演算 NAND 演算 x y 0 0=1 0 1=1 1 0=1 1 1=0 NOR 演算 0+ 0=1 0+ 1=0 1+ 0=0 1+ 1=0 x+ y 32

33 論理演算の基本的性質 交換則 ( 交換律 ) x y= y x x+ y= y+x 結合則 ( 結合律 ) 分配則 ( 分配律 ) x ( y z)=( x y) z x+ ( y+ z)=(x+ y)+ z x ( y+ z)=(x y)+ ( x z) x+ ( y z)=( x+ y) (x+ z) ド モルガン則 ( ド モルガン律 ) x y=x+ y x+ y=x y 33

34 論理演算の記述の優先順位 通常は AND 演算を OR 演算より優先して書く ( 算術演算の記述法と同じ ) a b+ c d=(a b)+ (c d ) 紛らわしい場合はカッコを使う 34

35 論理関数 ( 論理演算 ) は何種類あるか 論理関数の引数の数を固定する 入力 出力ともに有限のパターンしかないので 論理関数は有限個しかない 例 :1 変数論理関数は 4 種類 f 0 (0)=0 f 0 (1)=0 f 1 (0)=0 f 1 (1)=1 f 2 (0)=1 f 2 (1)=0 f 3 (0)=1 f 3 (1)=1 f 0 (x)=0 f 1 (x)=x f 2 (x)= x f 3 ( x)=1 35

36 論理関数 ( 論理演算 ) は何種類あるか n 変数関数の場合 入力のパターンは 2 n 通り それぞれに対して 出力のパターンは 0 か 1 入出力のパターン数 = 2 2n 36

37 真理値表 すべての入力パターンに対して出力を定義すれば論理関数が定義できる 表にするとわかりやすい 真理値表 (truth table) AND OR NOT x y x y x y x+y x x

38 真理値表 注意事項 引数 ( 入力 ) のパターンは その並びを 2 進数と見たときに だんだん増えていく 順番に記述するのが普通 対応関係が同じでも 思いついた順番に並べるのはよくない! x y x y GOOD BAD x y x y

39 真理値表による証明 真理値表によってド モルガン則を確かめてみよう x y x y x y x+y x y x+y x y x+y

40 基本論理演算と論理関数 AND, OR, NOT 演算の組み合わせだけでどんな論理関数でも作ることができる 証明 : 引数の数に関する数学的帰納法 引数 1 つの時 引数 1 つの論理関数は前述の通り 4 種類しかない f 0 ( x)=0=x x f 1 (x)=x f 2 ( x)= x f 3 ( x)=1=x+ x 成立 40

41 基本論理演算と論理関数 引数 n 個のとき 引数 n-1 個では成立を仮定 任意の n 変数論理関数 次の n-1 変数論理関数を考える f (x 1, x 2,, x n ) g 0 ( x 1, x 2,, x n 1 )= f (x 1,, x n 1,0) g 1 ( x 1, x 2,, x n 1 )= f ( x 1,, x n 1,1) ここで次の式を考える : x=0 なら a x=1 なら b x a+ x b x a b xa xb xa+ab x a b xa xb xa+ab

42 基本論理演算と論理関数 xn の値によって関数を切り替える x n =0 x n =1 f ( x 1,, x n 1, x n )=g 0 ( x 1,, x n 1 ) f ( x 1,, x n 1, x n )=g 1 ( x 1,, x n 1 ) 前ページの考察により f ( x 1,, x n 1, x n )=x n g 0 ( x 1,, x n 1 )+ x n g 1 ( x 1,, x n 1 ) 仮定より g 0 と g 1 は AND,OR,NOT のみで表せるの で f も AND,OR,NOT のみで表せる 42

43 演習 分配律が成立していることを真理値表で確かめよ x ( y+ z)=( x y)+ ( x z) x+ ( y z)=(x+ y) (x+ z) x y z xy xz y+z x(y+z) xy+xz

44 論理演算と論理素子 ある論理演算を実現するための電子回路 ( 論理ゲート ) AND ゲート OR ゲート MIL 記号 NOT ゲート XOR ゲート 44

45 論理演算と論理素子 ゲートの端にある が 反転 を表す NOT ゲート NAND ゲート NOR ゲート 45

46 等価な論理ゲート ド モルガン律などによる = A B C D AB+CD = = 46

47 NAND ゲートは万能 NAND ゲートですべてのゲートの代用ができる = x x= x = x y= x y = x y=x+ y 47

48 NAND ゲートの実現例 Diode-Transistor Logic (DTL) 簡略化した回路図 ( 実際はもう少し複雑 ) DTLは遅くて消費電力が大きいので 実際にはあまり使われない V+ V+ L V+ H H L V+ V+ V+ IN1 IN2 OUT L L H L H H H L H H H L 48

49 半導体でなくても論理ゲートは作れる リレーで作る論理ゲート コイルに電流を流すと隣にあるスイッチが ON/OFF S1 S2 S1 と S2 の両方が ON のときだけ OFF になる 49

50 論理演算と論理回路 論理式 論理回路 例 Z= AB BC CA A B C Z 50

51 演習 次の論理式に基づく論理回路を描け S= X Y X Y C= X Y X Y? C S 51

52 半加算器 さっきの論理式の真理値表 X Y C S C: Carry ( 桁上げ ) S: Sum ( 和 ) X, Y を 1 桁の 2 進数 CS を 2 桁の 2 進数と見ると CS は X と Y の算術加算になっている 半加算器 (Half Adder) 52

53 桁の多い加算 2 桁以上の 2 進数の加算をするにはどうする? 桁上げを考慮 3 つの 2 進数の和を計算しなければならない 3 つの 1 桁の 2 進数 a,b,c を加算 2 桁の 2 進数 CS a b c C S

54 全加算器 (full adder) 半加算器の組み合わせで作れる 54

55 発展 前ページの回路の入出力関係がその前の真理値表と同じになっていることを確かめよう 2 ページ前の真理値表を直接表現する論理式を考えてみよう C= f (a, b, c) S=g (a,b, c) 55

56 桁の多い加算器 筆算と同じ要領 ( 下から順に桁上げ )

57 論理関数の設計 これまでは論理式から論理回路を描いていた 本当にやりたいこと 要求仕様 ( 欲しい入出力関係 ) を実現する論理回路 やるべきこと 要求仕様 ( 真理値表 ) 論理式 ( 関数 ) 論理回路 問題点 どうやって真理値表を満たす論理式を作るか 真理値表を満たす論理式は1つではない どういう論理式を作ればいいか 57

58 真理値表から論理関数へ 次の真理値表で表される論理式 ( 論理関数 ) は? x y z f(x,y,z)

59 真理値表から論理関数へ 次の真理値表で表される論理式 ( 論理関数 ) は? x y z f(x,y,z) 答えは唯一ではない f = x y ẕ+ x y z+ x y ẕ f = y ẕ+ x y z f = (x+ y+ z)( x+ y+ ẕ) (x+ y+ ẕ)( x+ y+ z) ( x+ y+ ẕ) 59

60 真理値表から論理関数へ 論理関数への変換の考え方 できるだけ機械的に変換できたほうがいい 真理値表から標準形論理式への変換 できるだけ論理演算が少ないほうがいい 論理回路として実現した時の素子数が少ない 低コスト 高速 演算数が最小の論理式 : 最簡形論理式 最簡形論理式の導出 カルノー図 クワイン マクラスキ法 60

61 基礎的な概念 基本的に積和形 ( 論理積の論理和 ) で考える y z+ x y ẕ 項 ( 積項 ) リテラル : 変数または変数の否定 y z+ x y ẕ リテラル リテラル リテラル 61

62 標準形論理式 積和標準形 ( 主加法標準形 ) Sum-of-product リテラルの論理積の論理和 すべての項がすべての変数を含む 同じ項は1 回しか出てこない 積和標準形の例 f ( x, y, z)= x y z+ x y z+ x y ẕ 積和標準形でない例 f ( x, y, z)= y z+ x y ẕ f ( x, y, z)= y (x+ z)+ x y ẕ f ( x, y, z)= x y z+ x y z+ x y ẕ+ x y z 62

63 標準形論理式 和積標準形 ( 主乗法標準形 ) Product-of-sum リテラルの論理和の論理積 すべての論理和がすべての変数を含む 同じ論理和は1 回しか出てこない 和積標準形の例 f ( x, y, z)=( x+ y+ z)(x+ y+ ẕ)( x+ y+ z) 和積標準形でない例 f ( x, y, z)=( x+ z)( x+ y+ z) f ( x, y, z)=( x+ z)( x y+ z) f ( x, y, z)=( x+ y+ z)(x+ y+ ẕ)(x+ y+ z) 63

64 2 つの標準形の関係 どんな論理式でも 積和標準形と和積標準形の両表で表現できる 証明 ( 変数の数による数学的帰納法 ) 1 変数の場合は自明 k 変数の場合に成立を仮定 任意の k+1 変数論理関数を考える f (x 1, x 2,, x k+ 1 ) 次の k 変数関数を考える g 0 (x 1,, x k )= f ( x 1, x 2,, x k, 0) g 1 ( x 1,, x k )= f (x 1, x 2,, x k,1) 64

65 2 つの標準形の関係 g をそれぞれ積和と和積標準形で表した論理式 g 0 0 ( x 1,, x k ) g SOP g 1 1 (x 1,, x k ) g SOP 以前と同じ議論により 0 ( x 1,, x k ), g POS 1 ( x 1,, x k ), g POS (x 1,, x k ) (x 1,, x k ) f (x 1, x 2,, x k, x k+ 1 )=x k + 1 g 0 ( x 1,, x k )+ x k+ 1 g 1 ( x 1,, x k ) 0 1 =x k + 1 g SOP ( x 1,, x k )+ x k+ 1 g SOP ( x 1,, x k ) これを分配律によって展開すれば f も積和標準形で表せる 65

66 2 つの標準形の関係 和積標準形の場合 まず次の関係を考える x=0ならa x=1ならbとなる論理式 したがって (x+ a)( x+ b) x=0 ( x+ a)( x+ b)=(0+ a)(1+ b)=a 1=a x=1 ( x+ a)( x+ b)=(1+ a)(0+ b)=1 b=b f ( x 1, x 2,, x k, x k+ 1 )=( x k g 0 ( x 1,, x k ))( x k g 1 (x 1,, x k )) 0 =( x k g POS 1 ( x 1,, x k ))( x k g POS これを分配律によって展開すれば f も和積標準形で表せる ( x 1,, x k )) 66

67 標準形論理式と論理回路設計 ある標準形は 1 つの仕様 ( 真理値表 ) に対して基本的に 1 つしか存在しない 真理値表一対一標準形論理式 一対一 論理回路 x y f(x,y) x y+ x y 67

68 真理値表から積和標準形へ 最小項 : すべての変数のリテラルを含む積項 ある入力の組み合わせの時だけ 1 になり それ以外の組み合わせでは 0 になる x y x y x y x y x y x y f(x,y) 実現したい真理値表のうち 1 になる組み合わせの最小項だけを OR でつなぐと積和標準形になる f (x, y)= x y+ x y 68

69 真理値表から和積標準形へ 最大項 : すべての変数のリテラルを含む論理和 ある入力の組み合わせの時だけ 0 になり それ以外の組み合わせでは 1 になる x y x+y x+y x+y x+y x y f(x,y) 実現したい真理値表のうち 0 になる組み合わせの最大項だけを AND でつなぐと和積標準形になる f (x, y)=(x+ y)( x+ y) 69

70 演習 次の真理値表による論理関数を積和標準形と和積標準形で表せ x y z f(x,y,z)

71 論理式の簡単化 標準形で表現した論理式は一般に冗長 x y f(x,y) x y+ x y+ x y x y 同じ x y+ x y+ x y= x y+ x y+ x y+ x y =( x+ x) y+ x( y+ y)=1 y+ x 1=x+ y x y どうすれば同じ関数を表現するときの論理演算の数を最小にできるのか? 71

72 基礎的な概念 論理関数の順序 値の順序 :0 0, 0 1, 1 1 論理関数の順序 :f(x),g(x) について x(f(x) g(x)) f g 例 : 確かめてみよう xy x x+y ( 真理値表を書き 左辺が 1 のところが右辺でも必ず 1 になっているな らば が成立 ) 72

73 基礎的な概念 系 論理関数 a,b,c,d について a c, b d ならば a+b c+d a c, a d ならば a c+d a c, b c ならば a+b c 73

74 基礎的な概念 論理式 f の主項とは リテラルの論理積 t のうち 次の条件を満たすもの t f であり tからそれ以上リテラルを取り除くと t f でなくなる 例 x y z f x y z x y x f x y ẕ f x y f x これが主項 74

75 主項と論理式 ある論理式 f の主項を全部列挙し その論理和をとれば それは f と一致する ただし一般には冗長 x y z f x y x z y z f = x y+ x ẕ+ y z= x ẕ+ y z 75

76 必須主項 ある論理式を構成するために必ず必要な主項 f =x y+ x ẕ+ y z=x ẕ+ y z x y z f x y x z y z 必須主項 76

77 最簡形論理式とは 次の条件を満たす論理式 : ある論理関数を実現する論理式のうち 積項の数が最小で かつ式全体のリテラルの数が最小のもの 論理式を簡単化するときの目標 論理関数の仕様 ( 真理値表 ) に対して最簡形が一意に決まるとは限らない 77

78 最簡形を求めるには 次のような手順を踏む 1. 与えられた論理関数の主項をすべて求める 2. 求まった主項のなかの必須主項を調べる 3. すべての必須主項と それ以外の主項のうちできるだけリテラルの少ない主項を組み合わせて元の論理関数を表現する これらの求め方でいくつかの方法がある カルノー図 クワイン マクラスキ 法 78

79 最簡形を求める方法 カルノー図 (Karnaugh map) 真理値表に似た表と四角形でできた図を使う 人間向き 変数の数はふつう 4 個ぐらいまで クワイン マクラスキ 法 (Quine-McClusky alg.) 文字列処理 計算機向き ( 人間にはつらい ) 変数の数は多くてもよいが 変数が多いと時間がかかる 79

80 カルノー図 2 次元の真理値表をもとに主項を発見する 3 変数 (x,y,z) 4 変数 (x,y,z,w) の表の例 0,1 の並びに注意 xy\z xy\zw

81 カルノー図 カルノー図では積項は長方形になる z y xy\zw x

82 カルノー図 カルノー図は表の上下左右がつながっている y xy\zw w

83 カルノー図 リテラルの多い積項の例 x z x y z w xy\zw z w x y w y z w 83

84 カルノー図による論理式の簡単化 カルノー図の表を描き 目標となる論理式が 1 となるマス目に 1 を記入 1 を囲む できるだけ大きい長方形 (= 主項 ) を探す 辺の長さは 2 の n 乗のみ 上下左右が連続していることを忘れずに すべての 1 をカバーする できるだけ少ない数の できるだけ大きい長方形の組み合わせを探す その長方形の組み合わせに対応する論理式が最簡形になっている 84

85 カルノー図の例 カルノー図の表を描き 目標となる論理式が 1 となるマス目に 1 を記入 xy\zw

86 カルノー図の例 1 を囲む できるだけ大きい長方形 (= 主項 ) を探す 辺の長さは2のn 乗のみ 上下左右が連続していることを忘れずに xy\zw

87 カルノー図の例 すべての 1 をカバーする できるだけ少ない数の できるだけ大きい長方形の組み合わせを探す xy\zw この 2 つは必須ではない 大きいほうを選ぶ ある 1 を 1 つの四角だけが囲んでいるなら その四角は必須主項 87

88 カルノー図の例 その長方形の組み合わせに対応する論理式が最簡形になっている x w+ y w+ z w+ x y w xy\zw 使わない z w x y w y w x w 88

89 演習 次のカルノー図を使って最簡形論理式を求めよ xy\z xy\zw

90 部分論理関数とカルノー図 入力のすべてのパターンを考えなくてよい場合 例 :7 セグメント LED に 0~4 の数字を表示 x 1 x 2 LED エンコーダ b x 3 b 6 3 x 1 x 2 x 3 =

91 部分論理関数とカルノー図 b1~b6 の真理値表 下 3 行の入力は想定しなくてよい 部分論理関数 x1 x2 x3 b0 b1 b2 b3 b4 b5 b N/A N/A N/A 91

92 部分論理関数とカルノー図 b0 の論理関数の設計 想定外の入力に対して 0 を出力 x1x2\x 想定外の入力に対して何を出力してもよい x1x2\x * * 1 0 * x 1 x 3 + x 1 x 2 x 1 x 3 + x 2 92

93 部分論理関数とカルノー図 演習 :b6 に対応する論理関数を設計しよう x1 x2 x3 b N/A N/A N/A 93

94 クワイン マクラスキー法 機械的に最簡形を求める方法 文字列処理によって主項を発見する 手順 すべての最小項を文字列で表現する 組み合わせ表を使って文字列をまとめていく ( 主項の発見 ) 主項表を作り 必須主項を発見する 必須主項以外の主項を発見する 94

95 クワイン マクラスキ 法 項を文字列化する例 ( 変数 xyzw の場合 ) x y z w 1111 x y z w 0101 x y z 111- x z w 1-00 x z と 1 が 1 か所だけ違う文字列をまとめる = = =0--1 x y z w+ x y z w=x y z (w+ w)=x y z x y ẕ w+ x y z w= x y (z+ ẕ)w= x y w x y w+ x y w= x( y+ y)w= x w 95

96 クワイン マクラスキー法 表を使った主項の発見 1. すべての最小項の組み合わせ表を作り 第 0 表とする n 0 とする 2. まとめられる文字列はまとめる まとめられなかった項に印をつける 3. 文字列をまとめることができた場合は それらを集めて第 (n+1) 表を作る 2. へ 4. 印のついた項が主項である 96

97 表を使った主項の発見 g x, y, z, w = x y w x y z w y z w x y z w x y z w x z 最小項は 第 0 表

98 第 1 表 表を使った主項の発見

99 表を使った主項の発見 第 2 表 まとめられる組み合わせはない 発見された主項は -0-1, -01-, --11, 1-1-, 11-0 次にこの中から必須主項を探す 主項表 99

100 主項表 ある主項がどの最小項をカバーするか記入する x x x x -01- x x x x --11 x x x x 1-1- x x x x 11-0 x x 100

101 主項表 最小項のうち印が 1 個しかついてないものをチェック x x x x -01- x x x x --11 x x x x 1-1- x x x x 11-0 x x 101

102 主項表 その印がある行の主項が必須主項 x x x x -01- x x x x --11 x x x x 1-1- x x x x 11-0 x x -0-1, -01-, --11, 11-0 は必須主項 1-1- は必須主項でない 102

103 主項表 必須主項がカバーする最小項をチェック x x x x -01- x x x x --11 x x x x 1-1- x x x x 11-0 x x 必須主項だけですべての最小項がカバーできる 必須主項だけで最簡形が構成される g ( x, y, z,w)= ȳ w+ ȳ z+z w+x y w 103

104 第 0 表 カルノー図による QM 法の理解 xy \ zw 第 1 表 xy \ zw

105 カルノー図による QM 法の理解 第 2 表 xy \ zw 最適な組み合わせ xy \ zw

106 必須主項以外が必要な例 g ( x, y, z)= x y z+ x y z+ x y ẕ+ x y z+ x y ẕ 第 0 表 第 1 表

107 必須主項以外が必要な例 主項表 * * -11 * * 11- * * 1-0 * * 必須 必須 必須主項でカバーされる最小項 * * -11 * * 11- * * 1-0 * * どちらでもよいことがわかる 107

108 演習 クワイン マクラスキー法を使って x y z x y z x y z x y z x y z を簡単化せよ 108

スライド 1

スライド 1 ブール代数 ブール代数 集合 { 0, 1 } の上で演算 AND, OR, NOT からなる数学的体系 何のため? ある演算をどのような回路で実現すればよいのか? どうすれば回路が小さくなるのか? どうすれば回路が速く動くのか? 3 復習 : 真理値表とゲート記号 真理値表 A B A B 0 0 0 0 1 0 1 0 0 1 1 1 A B A+B 0 0 0 0 1 1 1 0 1 1 1

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

Microsoft PowerPoint - LogicCircuits01.pptx

Microsoft PowerPoint - LogicCircuits01.pptx 論理回路 第 回論理回路の数学的基本 - ブール代数 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 本科目の内容 電子計算機 computer の構成 ソフトウェア 複数のプログラムの組み合わせ オペレーティングシステム アプリケーション等 ハードウェア 複数の回路 circuit の組み合わせ

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

離散数学

離散数学 離散数学 ブール代数 落合秀也 前回の復習 : 命題計算 キーワード 文 複合文 結合子 命題 恒真 矛盾 論理同値 条件文 重条件文 論法 論理含意 記号 P(p,q,r, ),,,,,,, 2 今日のテーマ : ブール代数 ブール代数 ブール代数と束 そして 順序 加法標準形とカルノー図 3 今日のテーマ : ブール代数 ブール代数 ブール代数と束 そして 順序 加法標準形とカルノー図 4 ブール代数の法則

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

2 ver.10.7 論理回路 ( 原理と設計 ) 3 1 3. 組み合わせ論理回路の簡単化 同じ論理関数でも 回路の段数の深さ 使う論理素子の総数など 基準の違いによって複雑さが異なる ( 回路の設計コストに影響する ) 論理関数を簡単化する方法はいろいろ知られているが 数変数程度の論理関数を簡単化するときに有効な方法としてカルノー図が知られている ( 実際の論理回路はもっと多変数であるから 実用的な方法のわけではない

More information

一般電気工学第二

一般電気工学第二 1 電子情報工学基礎 第 1 回 コンピュータの歴史と アーキテクチャの基礎 2 コンピュータとは Compute: 計算する Computer: 電子計算機 ( 元々は計算をする人を指す ) 紀元前 3000 年頃古代バビロニアで数字が誕生, 十進法が定められる. 3 計算する機械 算盤,Abacus 1617 年にネピアによる対数の理論, 計算尺の原型の発明 歯車式のパスカリーヌ計算機 ライプニッツの横型ドラム方式の回転計算機

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

Microsoft PowerPoint - ch1.ppt

Microsoft PowerPoint - ch1.ppt 論理回路 ( 基礎 ) 法政大学 情報科学部 大森健児 参考書 論理演算 () AND,OR,NOT,XOR AND OR NOT XOR 論理演算 (2) NAND,NOR NAND NOR 前提 結論 If A then B は A が真のとき B が真であるならば この文は真であり A が偽のときは B が真であろうとなかろうとこの文は真である A が真のとき B が偽であればこの文は偽である

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

オートマトン 形式言語及び演習 3. 正規表現 酒井正彦 正規表現とは 正規表現 ( 正則表現, Regular Expression) オートマトン : 言語を定義する機械正規表現 : 言語

オートマトン 形式言語及び演習 3. 正規表現 酒井正彦   正規表現とは 正規表現 ( 正則表現, Regular Expression) オートマトン : 言語を定義する機械正規表現 : 言語 オートマトン 形式言語及び演習 3. 酒井正彦 www.trs.css.i.nagoya-u.ac.jp/~sakai/lecture/automata/ とは ( 正則表現, Regular Expression) オートマトン : 言語を定義する機械 : 言語を記号列で定義 - 記述しやすい ( ユーザフレンドリ ) 例 :01 + 10 - UNIX の grep コマンド - UNIX の

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

オートマトン 形式言語及び演習 1. 有限オートマトンとは 酒井正彦 形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110,

オートマトン 形式言語及び演習 1. 有限オートマトンとは 酒井正彦   形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110, オートマトン 形式言語及び演習 1 有限オートマトンとは 酒井正彦 wwwtrscssinagoya-uacjp/~sakai/lecture/automata/ 形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110, } 形式言語 : 数学モデルに基づいて定義された言語 認識機械 : 文字列が該当言語に属するか? 文字列 機械 受理

More information

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt ( 第 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など ( 論理回路 Ⅰ) の期末試験 実施日 : 8/5( 金 ) : @ 教室 ( 定規 OK, 参照ダメ ) 成績評価 : 中間 5%, 期末 5% ( 出席率 8% 以上の学生が評価対象

More information

書式に示すように表示したい文字列をダブルクォーテーション (") の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf(" 情報処理基礎 "); printf("c 言語の練習 "); printf

書式に示すように表示したい文字列をダブルクォーテーション () の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf( 情報処理基礎 ); printf(c 言語の練習 ); printf 情報処理基礎 C 言語についてプログラミング言語は 1950 年以前の機械語 アセンブリ言語 ( アセンブラ ) の開発を始めとして 現在までに非常に多くの言語が開発 発表された 情報処理基礎で習う C 言語は 1972 年にアメリカの AT&T ベル研究所でオペレーションシステムである UNIX を作成するために開発された C 言語は現在使われている多数のプログラミング言語に大きな影響を与えている

More information

Microsoft PowerPoint - 1st

Microsoft PowerPoint - 1st コンピュータ概論第 1 回 授業導入 授業導入 コンピュータとは? Computer = 計算機 compute: 動詞 計算する computer: 計算するモノ 算盤 ( そろばん ) 計算尺 電卓 コンピュータ コンピュータ 単なる計算の道具ではない 計算を行う 算盤, 計算尺, 電卓, コンピュータ 計算を高速に行う 電卓, コンピュータ 大量のデータを記憶, 処理する コンピュータ さまざまなデータを処理する

More information

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n を入力してもらい その後 1 から n までの全ての整数の合計 sum を計算し 最後にその sum

More information

知識工学 II ( 第 2 回 ) 二宮崇 ( ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7.1 知識

知識工学 II ( 第 2 回 ) 二宮崇 ( ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7.1 知識 知識工学 II ( 第 回 ) 二宮崇 ( ninomiya@cs.ehime-u.ac.jp ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7. 知識に基づくエージェント知識ベース (knowledge base, KB): 文 の集合 他の 文 から導出されない

More information

Microsoft Word - no103.docx

Microsoft Word - no103.docx 次は 数える例です ex19.c /* Zeller の公式によって 1 日の曜日の分布を求めるプログラム */ int year, month, c, y, m, wnumber, count[7] = {0, i; for(year = 2001; year

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

Microsoft PowerPoint - qcomp.ppt [互換モード]

Microsoft PowerPoint - qcomp.ppt [互換モード] 量子計算基礎 東京工業大学 河内亮周 概要 計算って何? 数理科学的に 計算 を扱うには 量子力学を計算に使おう! 量子情報とは? 量子情報に対する演算 = 量子計算 一般的な量子回路の構成方法 計算って何? 計算とは? 計算 = 入力情報から出力情報への変換 入力 計算機構 ( デジタルコンピュータ,etc ) 出力 計算とは? 計算 = 入力情報から出力情報への変換 この関数はどれくらい計算が大変か??

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 ここでは機械命令レベルプログラミングを学びます 機械命令の形式は学びましたね機械命令を並べたプログラムを作ります 2 その前に プログラミング言語について 4 プログラミング言語について 高級言語 (Java とか C とか ) と機械命令レベルの言語 ( アセンブリ言語 ) があります 5 プログラミング言語について

More information

Microsoft Word - 0-オリエンテーション.doc

Microsoft Word - 0-オリエンテーション.doc 論理回路 (1 年前期金 3 限履修コード T513) 論理回路はコンピュータの算数 ( 数学ではない ) 身につけないと デジタルシステムを何ら理解することはできない 0. オリエンテーション授業中 質問はいつでも 大きな声ですること 0.1. 自己紹介川口博 (S430807 神戸市垂水区産 明石市育ち ) 明石高専電気工学科 修士 ( 千葉大学電子工学専攻 ) コナミ アーケードゲーム H/W

More information

Microsoft PowerPoint - 5.ppt [互換モード]

Microsoft PowerPoint - 5.ppt [互換モード] 5. チューリングマシンと計算 1 5-1. チューリングマシンとその計算 これまでのモデルでは テープに直接書き込むことができなかった また 入力テープヘッドの操作は右方向だけしか移動できなかった これらの制限を取り除いた機械を考える このような機械をチューリングマシン (Turing Machine,TM) と呼ぶ ( 実は TMは 現実のコンピュータの能力を持つ ) TM の特徴 (DFA との比較

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2019 年 5 月 13 日 東邦大学金岡晃 場合に応じた処理 1 こういうプログラムを作りたい 5 教科のテスト 100 点以上各科目の点数の合計が 100 点未満 おめでとう! これで 100 点越えのプレゼントを獲得! というメッセージを出力 残念!100 点越えのプレゼントまであと ** 点! というメッセージを出力 5 教科の点数の合計が

More information

オートマトンと言語

オートマトンと言語 オートマトンと言語 回目 4 月 8 日 ( 水 ) 章 ( 数式の記法, スタック,BNF 記法 ) 授業資料 http://ir.cs.yamanashi.ac.jp/~ysuzuki/public/automaton/ 授業の予定 ( 中間試験まで ) 回数月日 内容 4 月 日オートマトンとは, オリエンテーション 4 月 8 日 章 ( 数式の記法, スタック,BNF) 3 4 月 5 日

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 5 回目演算子の優先順位と変数の型変換 今日の講義で学ぶ内容 演算子の優先順位 優先順位の変更の方法 キャスト演算子と型変換 演算子の優先順位 演算子の優先順位 式を計算するときの演算の順序です例えば a=b*c+d; では乗算を先に計算するというルールです ( 主な演算子の優先順位 ) 演算子 名前 結合規則 ++ 後置インクリメント 左 -- 後置デクリメント 左!

More information

Microsoft PowerPoint - 9.pptx

Microsoft PowerPoint - 9.pptx 9. 線形写像 ここでは 行列の積によって 写像を定義できることをみていく また 行列の積によって定義される写像の性質を調べていく 行列演算と写像 ( 次変換 3 拡大とスカラー倍 p ' = ( ', ' = ( k, kk p = (, k 倍 k 倍 拡大後 k 倍拡大の関係は スカラー倍を用いて次のように表現できる ' = k ' 拡大前 拡大 4 拡大と行列の積 p ' = ( ', '

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft PowerPoint - 9.pptx

Microsoft PowerPoint - 9.pptx 9/7/8( 水 9. 線形写像 ここでは 行列の積によって 写像を定義できることをみていく また 行列の積によって定義される写像の性質を調べていく 拡大とスカラー倍 行列演算と写像 ( 次変換 拡大後 k 倍 k 倍 k 倍拡大の関係は スカラー倍を用いて次のように表現できる p = (, ' = k ' 拡大前 p ' = ( ', ' = ( k, k 拡大 4 拡大と行列の積 拡大後 k 倍

More information

PowerPoint Presentation

PowerPoint Presentation 付録 2 2 次元アフィン変換 直交変換 たたみ込み 1.2 次元のアフィン変換 座標 (x,y ) を (x,y) に移すことを 2 次元での変換. 特に, 変換が と書けるとき, アフィン変換, アフィン変換は, その 1 次の項による変換 と 0 次の項による変換 アフィン変換 0 次の項は平行移動 1 次の項は座標 (x, y ) をベクトルと考えて とすれば このようなもの 2 次元ベクトルの線形写像

More information

融合規則 ( もっとも簡単な形, 選言的三段論法 ) ll mm ll mm これについては (ll mm) mmが推論の前提部になり mmであるから mmは常に偽となることがわかり ll mmはllと等しくなることがわかる 機械的には 分配則より (ll mm) mm (ll mm) 0 ll m

融合規則 ( もっとも簡単な形, 選言的三段論法 ) ll mm ll mm これについては (ll mm) mmが推論の前提部になり mmであるから mmは常に偽となることがわかり ll mmはllと等しくなることがわかる 機械的には 分配則より (ll mm) mm (ll mm) 0 ll m 知識工学 ( 第 5 回 ) 二宮崇 ( ninomiya@cs.ehime-u.ac.jp ) 論理的エージェント (7 章のつづき ) 証明の戦略その 3 ( 融合法 ) 証明の戦略その 1 やその 2 で証明できたときは たしかにKKKK ααとなることがわかるが なかなか証明できないときや 証明が本当にできないときには KKKK ααが成り立つのか成り立たないのかわからない また どのような証明手続きを踏めば証明できるのか定かではない

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2017 年 5 月 15 日 東邦大学金岡晃 前回の復習 (1) このプログラムを作成し実行してください 1 前回の復習 (2) このプログラムを作成し実行してください 2 前回の復習 (3) 3 前回の復習 演算子 代入演算子 インクリメント シフト演算子 型変換 4 場合に応じた処理 5 こういうプログラムを作りたい 5 教科のテスト

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 4 回目演算子 今日の講義で学ぶ内容 演算子とオペランド 式 様々な演算子 代表的な演算子の使用例 演算子とオペランド 演算子 演算の種類です例えば + - * / 掛け算の記号は ではなく *( アスタリスク ) を使います割り算の記号は ではなく /( スラッシュ ) を使います オペランド 演算の対象です例えば 5( 値 ) num( 変数 ) 式 演算子とオペランドの組み合わせにより構成される数式です式は演算結果をもちます

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

<4D F736F F F696E74202D208CA48B868FD089EE288FDA82B582A294C5292E B8CDD8AB B83685D>

<4D F736F F F696E74202D208CA48B868FD089EE288FDA82B582A294C5292E B8CDD8AB B83685D> フィルタリングルール最適化問題の解法ル最適化問題の解法 神奈川大学理学部情報科学科 田中研究室 インターネットの仕組み IP アドレス - パケット 00 送り先 IPアドレス発信元 IPアドレスを含む 確実に相手に届く ルータ ルータ 00 IP アドレス ルータ自宅.55.5. ルータ 大学.7.5.0 インターネットの仕組み パケット - ルータ 00 00 ルータ パケット 00 000 00

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

Microsoft PowerPoint - 2.ppt [互換モード]

Microsoft PowerPoint - 2.ppt [互換モード] 0 章数学基礎 1 大学では 高校より厳密に議論を行う そのために 議論の議論の対象を明確にする必要がある 集合 ( 定義 ) 集合 物の集まりである集合 X に対して X を構成している物を X の要素または元という 集合については 3 セメスタ開講の 離散数学 で詳しく扱う 2 集合の表現 1. 要素を明示する表現 ( 外延的表現 ) 中括弧で 囲う X = {0,1, 2,3} 慣用的に 英大文字を用いる

More information

Microsoft PowerPoint - Prog05.ppt

Microsoft PowerPoint - Prog05.ppt 本日の内容 プログラミング言語第五回 担当 : 篠沢佳久櫻井彰人 平成 20 年 5 月 19 日 制御構造 条件式 論理式 ( 復習 ) if 式 繰り返し (1) 無限の繰り返し 1 2 Ruby vs. Excel 浮動小数点数の計算能力は同じ 整数の計算能力は Ruby が上 Ruby なら何桁でも計算できる Excel には 整数計算だけやって! ということができない欠点がある 使いやすさは

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 03 変数と式 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 3.1 変数と型 変数とは p.60 C 言語のプログラム中で, 入力あるいは計算された数や文字を保持するには, 変数を使用する. 名前がついていて値を入れられる箱, というイメージ. 変数定義 : 変数は変数定義 ( 宣言 ) してからでないと使うことはできない. 代入 : 変数には値を代入できる.

More information

行列、ベクトル

行列、ベクトル 行列 (Mtri) と行列式 (Determinnt). 行列 (Mtri) の演算. 和 差 積.. 行列とは.. 行列の和差 ( 加減算 ).. 行列の積 ( 乗算 ). 転置行列 対称行列 正方行列. 単位行列. 行列式 (Determinnt) と逆行列. 行列式. 逆行列. 多元一次連立方程式のコンピュータによる解法. コンピュータによる逆行列の計算.. 定数項の異なる複数の方程式.. 逆行列の計算

More information

オートマトン 形式言語及び演習 4. 正規言語の性質 酒井正彦 正規言語の性質 反復補題正規言語が満たす性質 ある与えられた言語が正規言語でないことを証明するために その言語が正規言語であると

オートマトン 形式言語及び演習 4. 正規言語の性質 酒井正彦   正規言語の性質 反復補題正規言語が満たす性質 ある与えられた言語が正規言語でないことを証明するために その言語が正規言語であると オートマトン 形式言語及び演習 4. 正規言語の性質 酒井正彦 www.trs.css.i.nagoya-u.ac.jp/~sakai/lecture/automata/ 正規言語の性質 正規言語が満たす性質 ある与えられた言語が正規言語でないことを証明するために その言語が正規言語であると仮定してを使い 矛盾を導く 閉包性正規言語を演算により組み合わせて得られる言語が正規言語となる演算について調べる

More information

線形代数とは

線形代数とは 線形代数とは 第一回ベクトル 教科書 エクササイズ線形代数 立花俊一 成田清正著 共立出版 必要最低限のことに限る 得意な人には物足りないかもしれません 線形代数とは何をするもの? 線形関係 y 直線 yもも 次式で登場する (( 次の形 ) 線形 ただし 次元の話世の中は 3 次元 [4[ 次元 ] 次元 3 次元 4 次元 はどうやって直線を表すの? ベクトルや行列の概念 y A ベクトルを使うと

More information

スライド 1

スライド 1 順序回路 (2) 1 順序回路の設計 組合せ論理回路の設計法 構造や規則性に着目した手設計 ( 先人の知恵を使う ) 入力 出力の関係に基づく自動合成 ( カルノー図など ) 順序回路の設計法 構造や規則性に着目した手設計 ( 前回の各例 ) 入力 出力 状態の関係に基づく自動合成 2 同期式順序回路の入力 出力 状態の関係 x 1 x 2 組合せ回路 y 1 y 2 x n q 2 q p q 1

More information

2015-2018年度 2次数学セレクション(整数と数列)解答解説

2015-2018年度 2次数学セレクション(整数と数列)解答解説 015 次数学セレクション問題 1 [ 千葉大 文 ] k, m, n を自然数とする 以下の問いに答えよ (1) k を 7 で割った余りが 4 であるとする このとき, k を 3 で割った余りは であることを示せ () 4m+ 5nが 3 で割り切れるとする このとき, mn を 7 で割った余りは 4 ではないことを示せ -1- 015 次数学セレクション問題 [ 九州大 理 ] 以下の問いに答えよ

More information

gengo1-2

gengo1-2 変数 プログラム中で 値を格納するには変数 variable を用いる変数は 格納する値の型によって 整数型 文字型 などの型 type をもつ変数を使うには 利用に先立って変数の宣言 declaration をしなければならない 値 変数の値はコンピュータのメモリ上に格納される 具体的にメモリのどの場所に格納されるかは言語処理系が自動的に扱うので プログラマ ( 特に初級者 ) が意識する必要はない

More information

補足 中学で学習したフレミング左手の法則 ( 電 磁 力 ) と関連付けると覚えやすい 電磁力は電流と磁界の外積で表される 力 F 磁 電磁力 F li 右ねじの回転の向き電 li ( l は導線の長さ ) 補足 有向線分とベクトル有向線分 : 矢印の位

補足 中学で学習したフレミング左手の法則 ( 電 磁 力 ) と関連付けると覚えやすい 電磁力は電流と磁界の外積で表される 力 F 磁 電磁力 F li 右ねじの回転の向き電 li ( l は導線の長さ ) 補足 有向線分とベクトル有向線分 : 矢印の位 http://totemt.sur.ne.p 外積 ( ベクトル積 ) の活用 ( 面積, 法線ベクトル, 平面の方程式 ) 3 次元空間の つのベクトルの積が つのベクトルを与えるようなベクトルの掛け算 ベクトルの積がベクトルを与えることからベクトル積とも呼ばれる これに対し内積は符号と大きさをもつ量 ( スカラー量 ) を与えるので, スカラー積とも呼ばれる 外積を使うと, 平行四辺形や三角形の面積,

More information

ポインタ変数

ポインタ変数 プログラミング及び実習 5 馬青 1 文字処理 数値処理 : 整数 浮動小数点数 単一の文字は と ( シングルクォーテーション ) で囲んで表現される 文字のデータ型は char または int である int を用いたほうが ライブラリの関数の引数の型と一致する 以下は全部 int の使用に統一する 従って int ch; で文字変数を宣言しておくと ch= A ; のように ch に文字 A

More information

PowerPoint Presentation

PowerPoint Presentation プログラミング基礎 第 2 週 (4,5,6 回 ) 2011-10-07 出村公成 この資料の再配布を禁止します 予定 プログラミング入門 (45 分 ) 変数 入出力 分岐 演習 (90 分 ) タッチタイプ練習 統合開発環境 Codeblocksの使い方 教科書例題の打ち込みと実行 プログラミング入門 C 言語の簡単な例を体験 変数 入出力 分岐 プログラムの例リスト 2.1 改 #include

More information

航空機の運動方程式

航空機の運動方程式 可制御性 可観測性. 可制御性システムの状態を, 適切な操作によって, 有限時間内に, 任意の状態から別の任意の状態に移動させることができるか否かという特性を可制御性という. 可制御性を有するシステムに対し, システムは可制御である, 可制御なシステム という言い方をする. 状態方程式, 出力方程式が以下で表されるn 次元 m 入力 r 出力線形時不変システム x Ax u y x Du () に対し,

More information

PowerPoint Presentation

PowerPoint Presentation 工学部 6 7 8 9 10 組 ( 奇数学籍番号 ) 担当 : 長谷川英之 情報処理演習 第 7 回 2010 年 11 月 18 日 1 今回のテーマ 1: ポインタ 変数に値を代入 = 記憶プログラムの記憶領域として使用されるものがメモリ ( パソコンの仕様書における 512 MB RAM などの記述はこのメモリの量 ) RAM は多数のコンデンサの集合体 : 電荷がたまっている (1)/ いない

More information

Microsoft PowerPoint - enshu4.ppt [äº™æ‘łã…¢ã…¼ã…›]

Microsoft PowerPoint - enshu4.ppt [äº™æ‘łã…¢ã…¼ã…›] 4. リスト, シンボル, 文字列 説明資料 本日の内容 1. リストとは 2. Scheme プログラムでのリストの記法 list 句 3. リストに関する演算子 first, rest, empty?, length, list-ref, append 4. 数字, シンボル, 文字列を含むリスト 1. Scheme でのシンボルの記法 2. Scheme での文字列の記法 リストとは 15 8

More information

Microsoft PowerPoint - 1回 [互換モード]

Microsoft PowerPoint - 1回 [互換モード] 計算機について学ぶ意義 計算機ハードウエア 2018 年度前期第 1 回 最近のメーカでは 電気技術者 機械技術者といった要素技術者だけでなく 総合技術者 が求められています 総合技術というのは システム のことです 1 機械工学分野 ( 機構, 金属加工, 設計製図 ) 2 電気電子工学分野 ( アクチュエータ, センシング, 通信 ) 3 制御工学分野 ( 追従制御, 非線形制御 ) 4 情報工学分野

More information

æœ•å¤§å–¬ç´—æŁ°,æœ•å°‘å–¬å•“æŁ°,ã…¦ã…¼ã‡¯ã…ªã……ã…›ã†®äº™éŽ¤æ³Ł

æœ•å¤§å–¬ç´—æŁ°,æœ•å°‘å–¬å•“æŁ°,ã…¦ã…¼ã‡¯ã…ªã……ã…›ã†®äº™éŽ¤æ³Ł 最大公約数, 最小公倍数, ユークリッドの互除法 最大公約数, 最小公倍数とは つ以上の正の整数に共通な約数 ( 公約数 ) のうち最大のものを最大公約数といいます. と 8 の公約数は,,,,6 で, 6 が最大公約数 つ以上の正の整数の共通な倍数 ( 公倍数 ) のうち最小のものを最小公倍数といいます. と の公倍数は, 6,,8,,... で, 6 が最小公倍数 最大公約数, 最小公倍数の求め方

More information

Microsoft PowerPoint - 10.pptx

Microsoft PowerPoint - 10.pptx m u. 固有値とその応用 8/7/( 水 ). 固有値とその応用 固有値と固有ベクトル 行列による写像から固有ベクトルへ m m 行列 によって線形写像 f : R R が表せることを見てきた ここでは 次元平面の行列による写像を調べる とし 写像 f : を考える R R まず 単位ベクトルの像 u y y f : R R u u, u この事から 線形写像の性質を用いると 次の格子上の点全ての写像先が求まる

More information

Microsoft PowerPoint - 11.ppt

Microsoft PowerPoint - 11.ppt 多段論理合成 ( 前半概要 ) 第 章多段論理合成 年 月改訂 論理合成システム 積項を用いたファクタリング TVF 論理式の割り算 関数分解 回路の変換 //5 多段論理合成 //5 多段論理合成 LSI の設計システム 論理合成システム Loic Sntesis Sstem 半導体技術に独立 半導体技術に依存 動作記術機能記術 ネットリスト ネットリスト レイアウト 動作記述言語, 機能記述言語論理式,

More information

Microsoft PowerPoint - logic ppt [互換モード]

Microsoft PowerPoint - logic ppt [互換モード] 述語論理と ( 全称 ) ( 存在 ) 回の講義の概観 : 命題論理 ( 真理値 ) 2 述語論理 ( モデルと解釈 ) 意味論 semantics 命題論理 ( 公理と推論規則 ) 述語論理 ( 公理と推論規則 ) syntax 構文論 preview 述語論理は命題論理よりも複雑 例題 : 次の文は真か偽か? ( 曖昧な文です ) すべての自然数 x に対して x < y を満たすような自然数

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 3 4 週 プログラミング言語の形式的な記述 2014 年 4 月 23 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Microsoft PowerPoint LCB_8.ppt

Microsoft PowerPoint LCB_8.ppt ( 第 8 回 ) 鹿間信介摂南大学理工学部電気電子工学科 論理記号 5. 論理機能記号と論理記号 5.. 論理機能記号 5..2 論理記号 5..4 ダイオードによるゲート回路 5..3 論理回路の結線と論理ゲートの入出力特性 (DTL & TTL) 演習 頻度 中間試験結果 35 3 25 2 5 5 最小 3 最大 (6 名 ) 平均 74. 6 以上 86 人 (76%) 6 未満 27 人

More information

Microsoft PowerPoint - H21生物計算化学2.ppt

Microsoft PowerPoint - H21生物計算化学2.ppt 演算子の行列表現 > L いま 次元ベクトル空間の基底をケットと書くことにする この基底は完全系を成すとすると 空間内の任意のケットベクトルは > > > これより 一度基底を与えてしまえば 任意のベクトルはその基底についての成分で完全に記述することができる これらの成分を列行列の形に書くと M これをベクトル の基底 { >} による行列表現という ところで 行列 A の共役 dont 行列は A

More information

座標変換におけるテンソル成分の変換行列

座標変換におけるテンソル成分の変換行列 座標変換におけるテンソル成分の変換行列 座標変換におけるテンソル成分の変換関係は 次元数によらず階数によって定義される変換行列で整理することができる 位置ベクトルの変換行列を D としてそれを示そう D の行列式を ( = D ) とするとき 鏡映や回映といった pseudo rotation に対しては = -1 である が問題になる基底は 対称操作に含まれる pseudo rotation に依存する

More information

Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1

Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1 Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1 目次 1. はじめに 1.1. 背景と目的 1.2. ScratchとViscuit 1.3. ドリトル 2. 準備 2.1. ArduinoとRaspberry Pi 3. 演習内容 3.1. ドリトル 3.2. 指導内容例 4. まとめ 2 1. はじめに ( 背景 ) 原理 理解 活用社会 3 1. はじめに ( 背景 ) 原理

More information

学習指導要領

学習指導要領 (1) 数と式 学習指導要領ア数と集合 ( ア ) 実数数を実数まで拡張する意義を理解し 簡単な無理数の四則計算をすること 第 1 章第 節実数 東高校学力スタンダード 4 実数 (P.3~7) 自然数 整数 有理数 無理数 実数のそれぞれの集 合について 四則演算の可能性について判断できる ( 例 ) 下の表において, それぞれの数の範囲で四則計算を考えるとき, 計算がその範囲で常にできる場合には

More information

Microsoft PowerPoint - 3.ppt [互換モード]

Microsoft PowerPoint - 3.ppt [互換モード] 3. プッシュダウンオートマトンと文脈自由文法 1 3-1. プッシュダウンオートマトン オートマトンはメモリがほとんど無かった この制限を除いた機械を考える 理想的なスタックを利用できるようなオートマトンをプッシュダウンオートマトン (Push Down Automaton,PDA) という 0 1 入力テープ 1 a 1 1 0 1 スタッb 入力テープを一度走査したあと ク2 入力テプを度走査したあと

More information

論理と計算(2)

論理と計算(2) 情報科学概論 Ⅰ アルゴリズムと計算 亀山幸義 http://logic.cs.tsukuba.ac.jp/~kam 計算とは? コンピュータが計算できることは? 1 2 関数 = 計算? NO 部分関数と計算 入力 1 入力 2 関数 出力 入力 1 入力 2 部分関数 出力 停止しない 入力 1 入力 2 コンピュータ 止まらないことがある出力 3 入力 1 入力 2 コンピュータ 出力 停止しない

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

Microsoft Word - Cプログラミング演習(1)_2012

Microsoft Word - Cプログラミング演習(1)_2012 第 1 回 (4/16) 参考書 : [1] B.W. カーニハン,D.M. リッチー著 : プログラミング言語 C 第 2 版 ANSI 規格準拠, 共立出版, 1989 年. [2] 高橋麻奈著 : やさしい C 第 2 版, ソフトバンククリエイティブ, 2003 年. [3] 柴田望洋著 : 新版明解 C 言語入門編, ソフトバンククリエイティブ, 2004 年. [4] 林晴比古著 : 新

More information

Information Theory

Information Theory 前回の復習 講義の概要 chapter 1: 情報を測る... エントロピーの定義 確率変数 X の ( 一次 ) エントロピー M H 1 (X) = p i log 2 p i (bit) i=1 M は実現値の個数,p i は i 番目の実現値が取られる確率 実現値 確率 表 裏 0.5 0.5 H 1 X = 0.5 log 2 0.5 0.5log 2 0.5 = 1bit 1 練習問題の解答

More information

OCW-iダランベールの原理

OCW-iダランベールの原理 講義名連続体力学配布資料 OCW- 第 2 回ダランベールの原理 無機材料工学科准教授安田公一 1 はじめに今回の講義では, まず, 前半でダランベールの原理について説明する これを用いると, 動力学の問題を静力学の問題として解くことができ, さらに, 前回の仮想仕事の原理を適用すると動力学問題も簡単に解くことができるようになる また, 後半では, ダランベールの原理の応用として ラグランジュ方程式の導出を示す

More information

Information Theory

Information Theory 前回の復習 情報をコンパクトに表現するための符号化方式を考える 情報源符号化における基礎的な性質 一意復号可能性 瞬時復号可能性 クラフトの不等式 2 l 1 + + 2 l M 1 ハフマン符号の構成法 (2 元符号の場合 ) D. Huffman 1 前回の練習問題 : ハフマン符号 符号木を再帰的に構成し, 符号を作る A B C D E F 確率 0.3 0.2 0.2 0.1 0.1 0.1

More information

講義「○○○○」

講義「○○○○」 講義 システムの信頼性 内容. 直列システムの信頼性. 並列システムの信頼性 3. 直列 並列の複合システムの信頼性 4. 信頼性向上のための手法 担当 : 倉敷哲生 ビジネスエンジニアリング専攻 システムの構成 種々の機械や構造物, システムを分割していけば. 個々の要素 サブシステム となる. サブシステムの組み合わせ方式 直列系 並列系 m/ 冗長系 待機冗長系 3 直列システムの信頼性 直列系

More information

Microsoft PowerPoint - アナログ電子回路3回目.pptx

Microsoft PowerPoint - アナログ電子回路3回目.pptx アナログ電 回路 3-1 電気回路で考える素 ( 能動素 ) 抵抗 コイル コンデンサ v v v 3-2 理 学部 材料機能 学科岩 素顕 iwaya@meijo-u.ac.jp トランジスタ トランジスタとは? トランジスタの基本的な動作は? バイポーラトランジスタ JFET MOFET ( エンハンスメント型 デプレッション型 ) i R i L i C v Ri di v L dt i C

More information

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 今回のプログラミングの課題 次のステップによって 徐々に難易度の高いプログラムを作成する ( 参照用の番号は よくわかる C 言語 のページ番号 ) 1. キーボード入力された整数 10 個の中から最大のものを答える 2. 整数を要素とする配列 (p.57-59) に初期値を与えておき

More information

<4D F736F F F696E74202D2091E6824F82538FCD8CEB82E88C9F8F6F814592F990B382CC8CB4979D82BB82CC82505F D E95848D8682CC90B69

<4D F736F F F696E74202D2091E6824F82538FCD8CEB82E88C9F8F6F814592F990B382CC8CB4979D82BB82CC82505F D E95848D8682CC90B69 第 章 誤り検出 訂正の原理 その ブロック符号とその復号 安達文幸 目次 誤り訂正符号化を用いる伝送系誤り検出符号誤り検出 訂正符号 7, ハミング符号, ハミング符号生成行列, パリティ検査行列の一般形符号の生成行列符号の生成行列とパリティ検査行列の関係符号の訂正能力符号多項式 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 誤り訂正符号化を用いる伝送系 伝送システム

More information

論理と計算(2)

論理と計算(2) 情報科学概論 Ⅰ アルゴリズムと計算量 亀山幸義 http://logic.cs.tsukuba.ac.jp/~kam 亀山担当分の話題 アルゴリズムと計算量 Fibonacci 数列の計算を例にとり アルゴリズムと計算量とは何か 具体的に学ぶ 良いアルゴリズムの設計例として 整列 ( ソーティング ) のアルゴリズムを学ぶ 2 Fibonacci 数 () Fibonacci 数 (2) = if

More information

前期募集 令和 2 年度山梨大学大学院医工農学総合教育部修士課程工学専攻 入学試験問題 No.1/2 コース等 メカトロニクス工学コース 試験科目 数学 問 1 図 1 は, 原点 O の直交座標系 x,y,z に関して, 線分 OA,OB,OC を 3 辺にもつ平行六面体を示す. ここで, 点 A

前期募集 令和 2 年度山梨大学大学院医工農学総合教育部修士課程工学専攻 入学試験問題 No.1/2 コース等 メカトロニクス工学コース 試験科目 数学 問 1 図 1 は, 原点 O の直交座標系 x,y,z に関して, 線分 OA,OB,OC を 3 辺にもつ平行六面体を示す. ここで, 点 A No.1/2 数学 問 1 図 1 は, 原点 O の直交座標系 x,y,z に関して, 線分 OA,OB,OC を 3 辺にもつ平行六面体を示す. ここで, 点 A,B,C の座標はそれぞれ A (,6,-2), B (4,-5,3),C (-5.1,4.9,.9) である. 次の問いに答えよ. (1) を求めよ. (2) および の向きを解答用紙の図 1 に描け. (3) 図 1 の平行六面体の体積

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

問 題

問 題 数学 出題のねらい 数と式, 図形, 関数, 資料の活用 の 4 領域について, 基礎的な概念や原理 法則の理解と, それらに基づき, 数学的に考察したり, 表現したり, 処理したりする力をみることをねらいとした () 数と式 では, 数の概念についての理解の程度, 文字を用いた式を処理したり, 文字を用いて式に表現したりする力, 目的に応じて式を変形する力をみるものとした () 図形 では, 平面図形や空間図形についての理解の程度,

More information

2015年度 2次数学セレクション(整数と数列)

2015年度 2次数学セレクション(整数と数列) 05 次数学セレクション問題 [ 千葉大 文 ] k, m, を自然数とする 以下の問いに答えよ () k を 7 で割った余りが 4 であるとする このとき, k を 3 で割った余りは であることを示せ () 4m+ 5が 3 で割り切れるとする このとき, m を 7 で割った余りは 4 ではないことを示せ -- 05 次数学セレクション問題 [ 九州大 理 ] 以下の問いに答えよ () が正の偶数のとき,

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 6 回目 if 文と if else 文 今日の講義で学ぶ内容 関係演算子 if 文と if~else 文 if 文の入れ子 関係演算子 関係演算子 ==,!=, >, >=,

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

C8

C8 システムソフトウェア講義の概要. 計算機システムの復習 : 中央演算処理装置 (CPU), プログラムの実行, 主記憶装置, 補助記憶装置 2. 時分割処理 : プロセス, スレッド, スケジューリング. スレッド間の排他制御 : フラグ, セマフォ, モニタ, デッドロック 4. デバイス管理,HDD へのアクセス制御 5. 記憶管理 : メモリ割り当て, ページング, セグメンテーション 6.

More information

Microsoft PowerPoint - mp11-02.pptx

Microsoft PowerPoint - mp11-02.pptx 数理計画法第 2 回 塩浦昭義情報科学研究科准教授 shioura@dais.is.tohoku.ac.jp http://www.dais.is.tohoku.ac.jp/~shioura/teaching 前回の復習 数理計画とは? 数理計画 ( 復習 ) 数理計画問題とは? 狭義には : 数理 ( 数学 ) を使って計画を立てるための問題 広義には : 与えられた評価尺度に関して最も良い解を求める問題

More information

Microsoft Word ã‡»ã…«ã‡ªã…¼ã…‹ã…žã…‹ã…³ã†¨åłºæœ›å•¤(佒芤喋çfl�)

Microsoft Word ã‡»ã…«ã‡ªã…¼ã…‹ã…žã…‹ã…³ã†¨åłºæœ›å•¤(佒芤喋çfl�) Cellulr uo nd heir eigenlues 東洋大学総合情報学部 佐藤忠一 Tdzu So Depren o Inorion Siene nd rs Toyo Uniersiy. まえがき 一次元セルオ-トマトンは数学的には記号列上の行列の固有値問題である 固有値問題の行列はふつう複素数体上の行列である 量子力学における固有値問題も無限次元ではあるが関数環上の行列でその成分は可換環である

More information

Microsoft PowerPoint - chap10_OOP.ppt

Microsoft PowerPoint - chap10_OOP.ppt プログラミング講義 Chapter 10: オブジェクト指向プログラミング (Object-Oriented Programming=OOP) の入り口の入り口の入り口 秋山英三 F1027 1 例 : 部屋のデータを扱う // Test.java の内容 public class Test { public static void main(string[] args) { double length1,

More information

Microsoft Word - no11.docx

Microsoft Word - no11.docx 3. 関数 3.1 関数関数は数学の関数と同じようなイメージを持つと良いでしょう 例えば三角関数の様に一つの実数値 ( 角度 ) から値を求めますし 対数関数の様に二つの値から一つの値を出すものもあるでしょう これをイメージしてもらえば結構です つまり 何らかの値を渡し それをもとに何かの作業や計算を行い その結果を返すのが関数です C 言語の関数も基本は同じです 0 cos 1 cos(0) =

More information

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63>

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63> C 言語講座第 2 回 作成 : ハルト 前回の復習基本的に main () の中カッコの中にプログラムを書く また 変数 ( int, float ) はC 言語では main() の中カッコの先頭で宣言する 1 画面へ出力 printf() 2 キーボードから入力 scanf() printf / scanf で整数を表示 / 入力 %d 小数を表示 / 入力 %f 3 整数を扱う int 型を使う

More information

数学の世界

数学の世界 東京女子大学文理学部数学の世界 (2002 年度 ) 永島孝 17 6 行列式の基本法則と効率的な計算法 基本法則 三次以上の行列式についても, 二次の場合と同様な法則がなりたつ ここには三次の場合を例示するが, 四次以上でも同様である 1 単位行列の行列式の値は 1 である すなわち 1 0 0 0 1 0 1 0 0 1 2 二つの列を入れ替えると行列式の値は 1 倍になる 例えば a 13 a

More information

文法と言語 ー文脈自由文法とLR構文解析2ー

文法と言語 ー文脈自由文法とLR構文解析2ー 文法と言語ー文脈自由文法とLR 構文解析 2 ー 和田俊和資料保存場所 http://vrl.sys.wakayama-u.ac.jp/~twada/syspro/ 前回までの復習 最右導出と上昇型構文解析 最右導出を前提とした場合, 上昇型の構文解析がしばしば用いられる. 上昇型構文解析では生成規則の右辺にマッチする部分を見つけ, それを左辺の非終端記号に置き換える 還元 (reduction)

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 講座を行う前に 自己紹介 僕と上回生について 1 年生同士で少しお話しよう! オリエンテーションの宿題 アルゴロジック http://home.jeita.or.jp/is/highschool/algo/index3.html どこまでできましたか? あまりできなかった人はこれから全部クリアしよう! 2016 年度 C 言語講座 第一回目 2016/6/11 fumi 今回の目標 プログラムを書いて実行するやり方を覚える

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information