Microsoft PowerPoint - 群馬大学_講演6-28.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - 群馬大学_講演6-28.ppt"

Transcription

1 エコ社会を支えるパワー IC 技術 - 高耐圧 SOI と低耐圧 BCD- 中川明夫

2 謝辞 本報告で 出 等の表示のない部分の資料は 筆者が東芝在籍中に外部発表で使用した資料に基づいて再構成し 作成したものです 関係する方々に感謝の意を表します

3 1. 地球温暖化 CO 2 削減 2.IT 化によるエネルギー消費増大 3. エネルギー効率向上 ---インバータ 電源効率 LED HEV 4. 再生可能エネルギー開発 --- 太陽光 風力発電 LED 電球

4 IT 化でエネルギー消費増大

5 インバータの効率推移

6 Loss

7

8 Renewable Energy

9 サハラ砂漠の 6% の太陽光発電で全世界がまかなえる!! ドイツ EU25 カ国および全世界の需要と等しい電力を太陽エネルギーで発電するのに必要な面積

10

11 Si デバイス性能限界 システムの変革 照明蛍光灯 LED 車ガソリン HEV, EV 発電石油 太陽光 風力 送電従来 スマートグリッド 直流給電

12 システム変革 回路変革の時代 東芝電力社会システム技術開発センター

13 システム変革 回路変革の時代

14 ワイドバンドギャップ半導体による低損失化 特性オン抵抗 ( 任意単位 ) Si GaAs SiC GaN タ イアモント

15 多種の電源電圧 電源の効率向上!! F. Lee PWRSoC V V 5V 3.3V 1.2V/ 1.8V 1.8V 3.3V

16 パワー IC の守備領域 パワー素子 MEMS デジタル パワー IC

17 IT 化電子化で拡大する PowerP IC の役割 デジタル家電 MEMS 入力 無線 RFMEMS センサー Discrete の領域 デジタルコア 電源 PSoC アクチュエータ 自動車 MEMS(L,C) モータ

18 パワー IC の応用 Automotive Switching Power Supply 10 電流 (A) 1 OA Equip motor EL PDP Appliance motor 0.1 Consumer Equip motor Telecom Printer 耐圧系 (V)

19 携帯機器 デジタル家電でパワー IC が活躍 D 級オーディオアンプ バッテリーチャージャー Voiceband CODEC WCDMA & GSM baseband converters オーディオアンプパワー周りをすべて集積化した 携帯電話パワーシステム LSI 超小型モバイル HDD モータードライバ

20 Low voltage Power ICs (BiCD) 2000 Engine control Stepping motor driver ABS 40W Audio amplifier

21 High Voltage Power ICs 1 Chip Inverter ICs 500V,1A(1994) 500V, 1A(2002) 500V, 3A(1997) 7 x μmSOI, Trench Isolation, 1.5μm 5V BiCMOS 6.6 x μmSOI Trench Isolation, 2μm 30V CMOS Analog 7.1 x μmSOI, Trench Isolation, 1.5μm 5V BiCMOS

22 500V/3A 1chip Inverter IC 1999

23 Highly Efficient Motor Control Industry Motors Refrigerators 1 Chip Inverter Washing Machine Air conditioner

24 高耐圧 SOI パワー IC 技術

25 Dielectric Isolation (DI) EPIC

26 Dielectric Isolation EPIC Wafer Direct-Bonding (1986) Low cost SOI wafers Large diameter (8 inches) Fine lithography High Voltage Bonding Substrate Grinding Lapping Substrate

27 研究開発方針策定 今後はパワー IC 年 12 月 ターゲット : インバータの 1 チップ集積化第一 Step: EPIC の置き換え Bipolar: 穴を掘ってエピで埋める 第二 Step: 薄膜 SOI

28 誘電体分離 power IC の課題 High voltage large current devices 500V 5-10A CMOS compatible process No lifetime control High voltage interconnection

29 Cross Section of First Generation Chip 100um in 1989 DI for HV Devices JI for LV Devices

30 Fabrication process of 1st generation ICs Buried N + Epi Adjust SOI thickness V-groove Therm. Ox Poly-Si Planarization

31 High Voltage SOI Technology, proposed in 1990 Trench Isolation & Thick buried Oxide High density device integration by trenches High voltage device is realized by thick buried oxide. Small wafer warpage & large diameter bonded wafers allows fine lithography. 従来の誘電体分離は酸化膜は分離の目的のみ新 SOI 技術では酸化膜は素子の一部 15μm

32 20μm のシリコン層で 500V トレンチ分離可能 A. Nakagawa ISPSD 90

33 高耐圧 SOI 技術の歴史 1. 最初の高耐圧 SOI の論文 1990 A.Nakagawa et al., Proc. of ISPSD, pp (1990) "New 500V output device structure on silicon oxide film", 2.SOI Resurf 1991 Y.S.Huang & B.J.Baliga Extention of Resurf Principle to Dielectrically Isolated Power Devices 3. 薄膜高耐圧 SOI 1991 S.Merchant et al., Proc. of ISPSD 91, p.31 Realization of High Breakdown Voltage(>700V) in Thin SOI Devices

34 Issues for High Voltage ICs on SOI Combination of SOI and trenches 1. How to realize a high voltage by applying a large share of the voltage across the buried oxide 2. How to realize a large current device on a thin SOI

35 埋め込み酸化膜に電圧を負担させる A. Nakagawa ISPSD 90

36 SOI device breakdown voltage vs. SOI thickness Breakdown voltage is limited by MOS Diode anode p + cathode n + Substrate 1D MOS diode

37 耐圧は 1 次元の MOS ダイオードの耐圧で決定

38 10μm

39 Box 膜上に n 型不純物拡散層を設けて高耐圧化

40 Box 界面電荷で耐圧向上 1-d MOS ダイオードの耐圧を計算

41 超薄膜 SOI で高耐圧が可能 Doping conc. S.Merchant et al., ISPSD 91

42 New SOI Diode Structure proposed in 1991 ISPSD p+ SIPOS n - n+ SiO 2 A.Nakagawa et al, ISPSD 91 & IEDM 96

43 Reverse I-V curve for the diode on SOI with SIPOS layer Anode p+ p n - Cathod e n+ n SIPOS New Diode Structure

44 Diode breakdown voltage vs. SOI layer thickness with buried oxide thickness as a parameter 1400 Breakdown Voltage (V) This Work Tox=2μm Tox=3μm Tox=2μm 200 Tox=0.5μm SOI Layer Thickness (μm)

45 P - 基板の効果 Current(A) Voltage(V)

46 Process Flow of SOI Power IC N-Wafer Substrate SiO 2 SiO 2 SiO 2 Poly-Si N- N- N- Poly-Silicon Deposition N- Silicon Direct Bonding(SDB) Adjust SOI thickness Substrate SiO 2 Deep Trench Isolation N- N- N- Poly-Si Etching N- Layer SiO2 Layer 250V: 7μm 250V: 3.0μm 500V: 16μm 500V: 3.5μm N- N- N- Substrate SiO 2 SiO 2 NPNP N SiO 2 N- P N P N N- Poly-Si

47 SOI Process Evolution From BiCMOS to Full CMOS Tr.NPN Tr.PNP Nch CMOS Pch CMOS LIGBT EB C BCE SGD S G D E G C 2 nd Gen.Process (1.5μm 5V BiCMOS) N P N- P P N- N N P N- SiO2 P N- P N P N- P NN Poly-Si Substrate Trench Isolation 絶縁体 (SiO2) rd Gen. Process 3 rd ( 30V CMOS ) 32 masking steps Nch CMOS Pch CMOS LIGBT SGD S G D E G C N N P P P N P N- N- N- SiO2 P NN Substrate Trench Isolation 絶縁体 (SiO2) 23 masking steps

48 Low Cost 500V/250V 1A 1 Chip Inverter IC 30V CMOS + DMOS + LIGBT 10 BSU V CC 6 13 BSV 16 BSW V REG 5 6 V Regulator Undervoltage Protection Undervoltage Protection Undervoltage Protection Undervoltage Protection 11 V BB1 17 V BB2 High-side Level Shift Driver HU 19 HV 20 HW 21 F/R 22 3-phase Distribution Logic Overheating Protection 9 U 12 V 15 W FG 23 Low-side Driver V S 1 PWM OS R REF 2 3 Triangular Wave Generator Overcurrent Protection 18 IS2 7 IS1 4 GND 500V1A 250V1A

49 Why Btr s were eliminated? 1. To simplify process. 2. Displacement current! High dv/dt High Side Driver Switch-Off

50 How bipolar transistor malfunction Off state Wrong signal is created by dv/dt

51 List of SOI Devices NMOS PMOS NPN PNP Nch LDMOS Pch LDPMOS HV-NMOS IGBT FWD BSD Conventional Process Vgs=5V,BVdss=7V Vgs=5V,BVdss=7V Vceo=30V Vceo=7V Vgs=5V,BVdss=30V Vgs=5V,BVdss=30V (BiCMOS) BVdss=500V BVdss=500V BV=500V BV=500V New Process Vgs=15V,BVdss=30V Vgs=15V,BVdss=30V (30V CMOS) Other : zener diode, resistor, capacitor

52 LIGBT

53 SOI power IC の課題 High voltage large current devices 500V 3A multi-channel Lateral IGBT CMOS compatible process No lifetime control High voltage interconnection

54 薄い SOI と厚い SOI

55 薄い SOI の方がスイッチングスピードが速い!!

56

57 1995 ISPSD 横型 IEGT

58 エミッタオープン MOS サイリスタ

59 1996 ISPSD

60 マルチチャネル 500V LIGBTs 1997 ISPSD Large Current Capability Multiple planar surface channels Switching speed is controlled by p-emitter p efficiency No lifetime control: CMOS compatible Reduced emitter efficiency Drain 1st Ch. Added Ch. Source p+ n-buffer n - n+p+ p+ 15μm SiO2 3μm

61 Shared source structure of multi-channel LIGBTs for trade-off improvement IGBT1 IGBT2 W p1 n - p-well p+ p+ n+ n - SiO 2

62 Calculated current-voltage curves of conventional and multi-channel LIGBTs

63 Finer p-well design enhancing inner electron channel current Drain p channel implant Gate Source p+/p-emitter n-buffer n - n+ n p+ n p-well 1.5μm SiO2 0.5μm P o ly G a te p+ n+ 5μm

64 Improvement in Trade-Off relation Future LIGBT design 500V3A LIGBT design 1.

65 500V 3A ISPSD New Multi-chanel IGBT Vf=3.0V for Drain current of 2.6A(Vg=5.0V) Device area:1.48mm 2 Vertical axis: 0.5A/Div Horizontal axis: 0.5V/Div Time: 200nsec/Div Drain current: 1A/Div

66 Unique feature of multi-channel LIGBTs --- Suppressed drain current for high drain voltage due to JFET effect. 1.0 Current(A) 0.1 This electron injection is suppressed by formed depletion layer Forward voltage (V/Div)

67 Short circuit withstanding capability of 500V 3A LIGBT 300V DC Drain current 5A/Div Time (2μs/Div)

68 横型 IGBT の特性改善 CS-LIGBT Drain Gate Source p+/p-emitter n-buffer n - n+ p+ n-well p-well SiO2

69

70 横型素子の改善 横型 SJ 素子 111V,138mΩmm 2

71 LIGBT VIGBT GaN

72 High voltage metal interconnection Poly-Si Scroll RFP

73 Resistive Field Plate (RFP) Concentric rings Bridge Scroll RFP Multi-Ring RFP Easy to design key parameters leakage current etc.

74 Breakdown Voltage vs. Drift Length 600 Interlayer oxide thickness Breakdown Voltage [ V] μm 3.9μm 3.7μm Drift length[μm]

75 Breakdown Voltage (V) w Opening Width of Poly-Silicon RFP (um)

76 500V/3A 1chip Inverter IC 1999

77 Sinusoidal wave drive is realized by TB6539 Hall sensor M MCU RES FG CW RV TB chip Inverter IC Drive signal Current limitter

78 PDP scan driver IC ISPSD 98 (Fuji electric)

79 ISPSD 2010, J.Sakano 他 Hitachi Research Laboratory

80

81 ISPSD 2009 CamSemi Enecsys

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

(Microsoft PowerPoint - \203E\203B\203\223\203N\210\244\222m.ppt)

(Microsoft PowerPoint - \203E\203B\203\223\203N\210\244\222m.ppt) IGBT 発展の経緯と限界特性 中川明夫 中川コンサルティング事務所 1 パワーデバイス応用分野の変遷 1997 2005 HVDC Transmission HVDC Transmission IGBT 2 パワーデバイス発展の経緯 ( 東芝の例 ) Power rating (VA) 100M 10M 1M 100K 1 st wave 10K 1960 500A2500V 80A400V 150A1000V

More information

untitled

untitled 謝辞 本報告で 出 等の表示のない部分の資料は 筆者が東芝在籍中に外部発表で使用した資料に基づいて再構成し 作成したものです 関係する方々に感謝の意を表します 中川コンサルティング事務所 Application fields of Power Devices 1997 2005 Evolution of high power devices in Toshiba Three waves in device

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

電子回路I_4.ppt

電子回路I_4.ppt 電子回路 Ⅰ 第 4 回 電子回路 Ⅰ 5 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 電界効果トランジスタ (FET) 基本構造 基本動作動作原理 静特性 電子回路 Ⅰ 5 2 半導体素子 ( ダイオードとトランジスタ ) ダイオード (2 端子素子 ) トランジスタ (3 端子素子 ) バイポーラトランジスタ (Biolar) 電界効果トランジスタ

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1 次世代パワーデバイスの 自動車への応用について 2012.7.9 トヨタ自動車 ( 株 ) 第 3 電子開発部 長尾勝 本日の内容 2 1. 自動車を取り巻く環境 2. 自動車用パワーエレクトロニクス 3. 次世代パワーデバイスと自動車 今後の自動車産業 ~ 自動車用エレクトロニクス開発 ~ 3 究極 201X 年 2010 年 20XX 年 ゼロエミッション 大気並の排気 環境 代替エネルギーへの対応

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

Introduction to Microfabrication

Introduction to Microfabrication 2005 Introduction to Microfabrication 1 1.1 Microfabrication disciplines Microfabrication technologies IC industry and related industries MEMS, solar cells, flat-panel displays, optelectronics In-plane

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

スライド タイトルなし

スライド タイトルなし 2011. 3. 2 高等研究院 インテックセンター成果報告会 極限を目指した 新しい半導体デバイスの実現 京都大学工学研究科電子工学専攻 木本恒暢 須田淳 光 電子理工学 エネルギー 環境問題や爆発的な情報量増大解決へ 物理限界への挑戦と新機能の創出 自在な光子制御 フォトニック結晶 シリコンナノフォト二クス ワイドバンドギャップ光半導体 極限的な電子制御 ワイドバンドギャップ (SiC) エレクトロニクス

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11 High Frequency Inverter for Microwave Oven Norikazu Tokunaga, Member, Yasuo Matsuda, Member, Kunio Isiyama, Non-member (Hitachi, Ltd.), Hisao Amano, Member (Hitachi Engineering, Co., Ltd.). Recently resonant

More information

SONY HAD Sensor に関する SONY と NEC の特許戦争 (1994~2002) SONY の 1975 年の HAD Sensor 特許に対する NEC からの攻撃内容の詳細 NECの1980 年の埋め込みPhotodiode 特許では BASE 領域を完全空乏化することを特許請

SONY HAD Sensor に関する SONY と NEC の特許戦争 (1994~2002) SONY の 1975 年の HAD Sensor 特許に対する NEC からの攻撃内容の詳細 NECの1980 年の埋め込みPhotodiode 特許では BASE 領域を完全空乏化することを特許請 SONY HAD Sensor に関する SONY と NEC の特許戦争 (1994~2002) SONY の 1975 年の HAD Sensor 特許に対する NEC からの攻撃内容の詳細 NECの1980 年の埋め込みPhotodiode 特許では BASE 領域を完全空乏化することを特許請求しているが すでに上記の2 件の萩原 1975 年特許の実施図で完全空乏化が明示されている また その埋め込み層の電位は

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to- General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 358 LMV358/324 LM358/324

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Drain Voltage (mv) 4 2 0-2 -4 0.0 0.2 0.4 0.6 0.8 1.0 Gate Voltage (V) Vds [V] 0.2 0.1 0.0-0.1-0.2-10 -8-6 -4-2 0 Vgs [V] 10 1000 1000 1000 1000 (LSI) Fe Catalyst Fe Catalyst Carbon nanotube 1~2 nm

More information

1 Chapter 1 (1) (2) JIS IEC, / 1.1 (1) (2) (3). 1. (passive element): 2. (active element): MOS FET 3. (mechanical element): 1.2 Fig.1.1,Fig.1.2 Fig.1.

1 Chapter 1 (1) (2) JIS IEC, / 1.1 (1) (2) (3). 1. (passive element): 2. (active element): MOS FET 3. (mechanical element): 1.2 Fig.1.1,Fig.1.2 Fig.1. 2013-04-03 1 Chapter 1 (1) (2) JIS IEC, / 1.1 (1) (2) (3). 1. (passive element): 2. (active element): MOS FET 3. (mechanical element): 1.2 Fig.1.1,Fig.1.2 Fig.1.1 AD Fig.1.2 Fig.1.1 Fig.1.2 Chapter 1 2

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

untitled

untitled NJU7704/05 C-MOS ( ) ±1.00.9µA DSP SOT-23-5 SC88A 2 DSP NJU7704/05F NJU7704/05F3 ±1.0 0.9µA typ ( ) 1.5 6.0(0.1 step) ( C ) ( ) Active "L" : NJU770****A Active "H" : NJU770****B Nch : NJU7704 C-MOS : C-MOS

More information

#表紙ドキュメントPDF書き出し用.indd

#表紙ドキュメントPDF書き出し用.indd MAGNETIC ENCODER MH-10 MR-13 MR-16 磁気式エンコダ モタと一体化設計とすること で 超小型ながら3 高分解能 ラインドライバ出力を 実現した磁気式エンコダです MR-13,MR-16 As designed in one unit with a motor, these magnetic encoders are very small, but are equipped

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

2 3 v v S i i L L S i i E i v L E i v 3. L urren (A) approx. 60% E = V = 0 Ω L = 00 mh urren (A) app

2 3 v v S i i L L S i i E i v L E i v 3. L urren (A) approx. 60% E = V = 0 Ω L = 00 mh urren (A) app 3 ON ON L * 3. v() = i() (3.) 3.2 L 3. L = 0 S i() = i () = i L () v () L v L () = 0 L v () = i(), (3.4) v L () = L d i(). (3.5) d v () + v L () = E, (3.6) i () = i L () = i(). (3.7) L d i() + i() = E.

More information

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析 [17.7 White Paper] 6 V 系スーパージャンクションパワー MOSFET TO-247-4L パッケージのシミュレーションによる解析 MOSFET チップの高速スイッチング性能をより引き出すことができる 4 ピン新パッケージ TO-247-4L 背景 耐圧が 6V 以上の High Voltage(HV) パワー半導体ではオン抵抗と耐圧のトレードオフの改善を行うためスーパージャンクション

More information

パナソニック技報

パナソニック技報 67 Next-generation Power Switching Devices for Automotive Applications: GaN and SiC Tetsuzo Ueda Yoshihiko Kanzawa Satoru Takahashi Kazuyuki Sawada Hiroyuki Umimoto Akira Yamasaki GaNSiCGaNSiGate Injection

More information

USER'S GUIDE

USER'S GUIDE スイッチングレギュレータシリーズ 絶縁型フライバック DC/DC コンバータ BD7F200EFJLB 評価ボード (24V 15V, 0.15A 4ch) 評価ボードは 絶縁型フライバック DC/DC コンバータ IC の BD7F200EFJLB を使用して 24V の入力から 15V の 電圧 4ch を出力します 出力電流は最大 0.15A を供給します 性能仕様 これは代表値であり 特性を保証するものではありません

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

AN41250A

AN41250A DATA SHEET 品種名 パッケージコード HQFP048-P-0707A 発行年月 : 2007 年 6 月 1 目 概要. 3 特長. 3 用途. 3 外形. 3 構造.... 3 ブロック図.... 4 端子説明... 5 絶対最大定格..... 7 動作電圧範囲. 7 次 2 光 Disk 用 7-ch Motor r 用 IC 概要 は Spindle Motor 駆動部に低雑音の Direct

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

スライド 1

スライド 1 Matsuura Laboratory SiC SiC 13 2004 10 21 22 H-SiC ( C-SiC HOY Matsuura Laboratory n E C E D ( E F E T Matsuura Laboratory Matsuura Laboratory DLTS Osaka Electro-Communication University Unoped n 3C-SiC

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 Encore SSIS 10 c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 SSIS NOSIDE PR SSIS SSIS PR 2000 5SSIS SSIS 1 2001 5 8 3 2004 SSIS 1 2 SSIS 24 SSISPR

More information

Microsoft PowerPoint - 4.1I-V特性.pptx

Microsoft PowerPoint - 4.1I-V特性.pptx 4.1 I-V 特性 MOSFET 特性とモデル 1 物理レベルの設計 第 3 章までに システム~ トランジスタレベルまでの設計の概要を学んだが 製造するためには さらに物理的パラメータ ( 寸法など ) が必要 物理的パラメータの決定には トランジスタの特性を理解する必要がある ゲート内の配線の太さ = 最小加工寸法 物理的パラメータの例 電源配線の太さ = 電源ラインに接続されるゲート数 (

More information

あらゆる情報検出から赤外線データ通信まで 幅広い用途に使える 超小型 & 高感度 光センサー Ultra-compact, high-sensitivity optical sensors for various app ranging from information detection to i

あらゆる情報検出から赤外線データ通信まで 幅広い用途に使える 超小型 & 高感度 光センサー Ultra-compact, high-sensitivity optical sensors for various app ranging from information detection to i あらゆる情報検出から赤外線データ通信まで 幅広い用途に使える 超小型 & 高感度 光センサー Ultra-compact, high-sensitivity optical sensors for various app ranging from information detection to infrared data communicati lications on CITISENSOR( 当社商標

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

Microsoft PowerPoint - AN-135J Rev.1.0 FA5650N_7MBR100VP060 評価ボード説明.pptx

Microsoft PowerPoint - AN-135J Rev.1.0 FA5650N_7MBR100VP060 評価ボード説明.pptx 1. 概要評価ボード FA5650N_7MBR100VP060 は 富士電機製の600V 耐圧 IGBTモジュールと高耐圧ドライバICを組合わせて評価するための開発支援ツールです IGBT モジュールは整流ダイオードブリッジと3 相インバータおよびブレーキ回路を内蔵したパワー集積モジュール (PIM) を対象にしています 高耐圧ドライバICとブートストラップ回路を内蔵しているので ゲート駆動用に単電源を供給し

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

USER'S GUIDE

USER'S GUIDE スイッチングレギュレータシリーズ 絶縁型フライバック DC/DC コンバータ BD7F100EFJLB 評価ボード (24V ±15V, 0.165A) 評価ボードは 絶縁型フライバック DC/DC コンバータ IC の BD7F100EFJLB を使用して 24V の入力から 15V,15V の 2 種類の電圧を出力します 出力電流は最大 0.165A を供給します 性能仕様 これは代表値であり

More information

No EV 26 Development of Crash Safety Performance for EV Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEV Hybrid Electric Ve

No EV 26 Development of Crash Safety Performance for EV Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEV Hybrid Electric Ve No.302012 EV 26 Development of Crash Safety Performance for EV 1 2 3 Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEVHybrid Electric VehicleBEVBattery Electric Vehicle, Summary There are growing

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 12 回窒化物半導体応用研究会 2011 年 11 月 10 日 ノーマリオフ型 HFET の高性能化 前田就彦 日本電信電話株式会社 NTT フォトニクス研究所 243-0198 神奈川県厚木市森の里若宮 3-1 E-mail: maeda.narihiko@lab.ntt.co.jp 内容 (1) 電力応用におけるノーマリオフ型デバイス (2) / HFETにおけるノーマリオフ化 - デバイス構造のこれまでの展開

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal Inrush Current of Induction Motor on Applying Electric Power by Takao Itoi Abstract The transient currents flow into the windings of the induction motors when electric sources are suddenly applied to the

More information

j9c11_avr.fm

j9c11_avr.fm AVR Type: AVR-M AVRL Issue date: September 211 RoHS EU Directive 22/95/EC PBB PBDE (1/1) SMD RoHS AVR AVR-M AVRL Varistor 2Zener diode Current(A) Positive direction 1 1 1 2 1 3 1 4 1 5 Zener diode /Vz:6.8V

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

AND9009JP - 電気的オーバストレス保護の種類

AND9009JP - 電気的オーバストレス保護の種類 (TVS) (TSPD) (efuse) TVS 2 USB 2 Figure 1 APPLICATION NOTE Figure 1. Illustration of How Protection Devices Limit Stress to Sensitive Components IC IC IC Figure 2 Figure 2 2 Semiconductor Components Industries,

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

Microsoft PowerPoint - 2章(和訳ver)_15A版_rev.1.1.ppt

Microsoft PowerPoint - 2章(和訳ver)_15A版_rev.1.1.ppt 第 2 章 端子記号 用語の説明 内容 ページ 1. 端子記号の説明. 2-2 2. 用語の説明 2-3 2-1 1. 端子記号の説明 表 2-1と表 2-2はそれぞれ端子記号と定義について説明しています 表 2-1 端子記号の説明 端子番号 端子名 端子説明 3 VB(U) ハイサイド駆動電源電圧端子 ( 上アームU 相 IGBT 駆動 ) 5 VB(V) ハイサイド駆動電源電圧端子 ( 上アームV

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp)

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp) ,Q /Q Tiny Low Power Operational Amplifier with Rail-to-Rail Input and Output Literature Number: JAJS809 CMOS SOT23-5 CMOS LMC6482/6484 PHS (PDA) PCMCIA 5-Pin SOT23 CMOS 19940216 33020 23900 11800 2006

More information

Microsoft Word - sp8m4-j.doc

Microsoft Word - sp8m4-j.doc 4V 駆動タイプ Nch+Pch MOS FET 構造シリコン N チャネル / P チャネル MOS 型電界効果トランジスタ 外形寸法図 (Unit : mm) SOP8 5..4.75 (8) (5) 特長 ) 新ライン採用により 従来品よりオン抵抗大幅低減 2) ゲート保護ダイオード内蔵 3) 小型面実装パッケージ (SOP8) で省スペース pin mark () (4).27 3.9 6..2.4Min.

More information

untitled

untitled Fuji Electric has a lineup of power MOSFETs ranging from medium to high-voltage types with features such as low power loss, low noise, and low on-resistance. The Super J-MOS Series uses superjunction technology,

More information

Microsoft PowerPoint - tft.ppt [互換モード]

Microsoft PowerPoint - tft.ppt [互換モード] 薄膜トランジスター 九州大学大学院 システム情報科学研究科 服部励治 薄膜トランジスターとは? Thin Film Transistor: TFT ソース電極 ゲート電極 ドレイン電極ソース電極ゲートドレイン電極 n poly 電極 a:h n n ガラス基板 p 基板 TFT 共通点 電界効果型トランジスター nmosfet 相違点 誘電膜上に作成される スタガー型を取りうる 薄膜トランジスター

More information

動化 V ns 9)10) Grezaud 15ns 10) DC VSC (Voltage Source Converter) SiC HEV SiC-MOSFET FWD SiC-SBD SiC-MOSFET FET DENSO TECHNICAL REVIEW Vol 電 FW

動化 V ns 9)10) Grezaud 15ns 10) DC VSC (Voltage Source Converter) SiC HEV SiC-MOSFET FWD SiC-SBD SiC-MOSFET FET DENSO TECHNICAL REVIEW Vol 電 FW デッドタイム制御機能内蔵 * SiC MOSFET 用ゲートドライバの開発 Development of the Dead Time Controlled Gate Driver for SiC MOSFET 丹羽章雅 Akimasa NIWA 今澤孝則 Takanori IMAZAWA 山本昌弘 Masahiro YAMAMOTO 笹谷卓也 Takanari SASAYA 磯部高範 Takanori

More information

燃焼圧センサ

燃焼圧センサ 49 Combustion Pressure Sensor Kouji Tsukada, Masaharu Takeuchi, Sanae Tokumitsu, Yoshiteru Ohmura, Kazuyoshi Kawaguchi π 1000N 150 225N 1 F.S Abstract A new combustion pressure sensor capable of measuring

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

Microsoft PowerPoint - H30パワエレ-3回.pptx

Microsoft PowerPoint - H30パワエレ-3回.pptx パワーエレクトロニクス 第三回パワー半導体デバイス 平成 30 年 4 月 25 日 授業の予定 シラバスより パワーエレクトロニクス緒論 パワーエレクトロニクスにおける基礎理論 パワー半導体デバイス (2 回 ) 整流回路 (2 回 ) 整流回路の交流側特性と他励式インバータ 交流電力制御とサイクロコンバータ 直流チョッパ DC-DC コンバータと共振形コンバータ 自励式インバータ (2 回 )

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information

4. 電源仕様 FA5695N Reference Design Item Value Unit Input voltage 85 to 264 Vac Output voltage 390 Vdc Output power 200 W Overcurrent limiting of power M

4. 電源仕様 FA5695N Reference Design Item Value Unit Input voltage 85 to 264 Vac Output voltage 390 Vdc Output power 200 W Overcurrent limiting of power M 力率改善制御 IC FA5695N 電源設計例 : 390V/200W Reference Design. 概要本資料は 力率改善制御用 IC FA5695N シリーズを使用した PFC 回路の設計例です 出力電力は 200W で構成されています 2. 特長 入力電圧検出レスにより低待機電力 高精度電流検出 :0.6V±5% 最大周波数制限機能により軽負荷時の効率改善 ソフトスタート ダイナミック

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

550 551 555 559 563 567 572 577 Quality is our message 581 585 589 593 550 Vol.75 No.10 2002 551 Vol.75 No.10 2002 552 Vol.75 No.10 2002 553 Vol.75 No.10 2002 R Q V I Quality is our message 554 Vol.75

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

75 unit: mm Fig. Structure of model three-phase stacked transformer cores (a) Alternate-lap joint (b) Step-lap joint 3 4)

75 unit: mm Fig. Structure of model three-phase stacked transformer cores (a) Alternate-lap joint (b) Step-lap joint 3 4) 3 * 35 (3), 7 Analysis of Local Magnetic Properties and Acoustic Noise in Three-Phase Stacked Transformer Core Model Masayoshi Ishida Kenichi Sadahiro Seiji Okabe 3.7 T 5 Hz..4 3 Synopsis: Methods of local

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

投稿原稿の表題

投稿原稿の表題 リアルタイムモニタリング機能を持ったゲート駆動システムの構築 * 濱田航太 吉田秀太郎大村一郎 ( 九州工業大学 ) An IGBT digital gate drive system with real time monitoring function. Hamada Kota *, Yoshida Hidetaro, Ichiro Omura (Kyushu Institute of Technology)

More information

QTC LSI Analog Timing Module QTC LSI

QTC LSI Analog Timing Module QTC LSI QTC chip CMOS 2006 1 27 QTC LSI Analog Timing Module QTC LSI QTC LSI QTC LSI Discriminator TDC PMT Signal Self Gate Q Charge and Discharge T Q T 電荷情報を時間に変換して TDC で AD 変換を行う QTC は内部にクロックを持たず Self gate で内部でタイミング信号を生成する

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

スライド 1

スライド 1 STRJ WS: March 9, 2006, 0.35µm 0.8µm 0.3µm STRJ WS: March 9, 2006, 2 0.35µm Lot-to-Lot, Wafer-to-Wafer, Die-to-Die(D2D) D2D 0.8µm (WID: Within Die) D2D vs. WID 0.3µm D2Dvs. WID STRJ WS: March 9, 2006,

More information

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7 電気電子工学専攻 54001 電磁波特論 2-0-0 電気電子コース EEE.S401 電気電子工学専攻 54002 無線通信工学 2-0-0 電気電子コース EEE.S451 Advanced Electromagnetic Waves ( 電磁波特論 ) Wireless Communication Engineering ( 無線通信工学 ) 旧電磁波特論あるいは旧 Advanced Electromagnetic

More information

音響部品アクセサリ本文(AC06)PDF (Page 16)

音響部品アクセサリ本文(AC06)PDF (Page 16) Guide for Electret Condenser Microphones A microphone as an audio-electric converting device, whose audio pickup section has a structure of a condenser consisting of a diaphragm and a back plate opposite

More information

三菱パワーデバイス HVIC

三菱パワーデバイス HVIC 三菱パワーデバイス HVIC HVIC Innovative Power Devices for a Sustainable Future マイコンなどの入力信号で直接ゲート駆動が可能各種の保護機能内蔵で機器の信頼性向上に貢献する三菱電機 HVIC HVIC(High Voltage IC) は パルストランスやフォトカプラを用いたパワー MOSFETやIGBTのゲート駆動に代わり マイコンなどの入力信号で直接ゲートを駆動する高耐圧

More information

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric IIC-1-19 Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric Vehicle Toru Suzuki, Hiroshi Fujimoto (Yokohama National

More information

PowerPoint Presentation

PowerPoint Presentation 半導体電子工学 II 神戸大学工学部 電気電子工学科 12/08/'10 半導体電子工学 Ⅱ 1 全体の内容 日付内容 ( 予定 ) 備考 1 10 月 6 日半導体電子工学 I の基礎 ( 復習 ) 11/24/'10 2 10 月 13 日 pn 接合ダイオード (1) 3 10 月 20 日 4 10 月 27 日 5 11 月 10 日 pn 接合ダイオード (2) pn 接合ダイオード (3)

More information

Distributeur : JBG-METAFIX

Distributeur : JBG-METAFIX CL-7IR-X (.x.5x.mm) Lead-free RS-4 (.65x7.5x.75mm) Lead-free PR- (.5x.375x.6 mm) Lead-free P.7 P.4 P.35 CL-9IRS-X (x.8x.8mm) Lead-free RS-47 (3.x4.5x.mm) Lead-free CPT-3 (3.xx.mm) Lead-free P.8 P.6 P.37

More information

第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例 プリント基板設計における推奨パターン及び注意点 Fuji Electric Co., Ltd. MT6M12343 Rev.1.0 Dec

第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例 プリント基板設計における推奨パターン及び注意点 Fuji Electric Co., Ltd. MT6M12343 Rev.1.0 Dec 第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例. 5-2 2. プリント基板設計における推奨パターン及び注意点.. 5-5 5-1 1. 応用回路例 この章では 推奨配線とレイアウトについて説明しています プリント基板設計時におけるヒントと注意事項については 以下の応用回路例をご参照下さい 図.5-1 と図.5-2 には それぞれ 2 種類の電流検出方法での応用回路例を示しており

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 63 No. 1 May 2017 Development of Simultaneous-Capture Wide-dynamic-range Technology and Global Shutter Technology for Organic Photoconductive Film Image Sensor Masashi

More information

PLZ-5W_ KPRI21.pdf

PLZ-5W_ KPRI21.pdf The Flagship New DC Electronic Load PLZ-5W D C E L E C T R O N I C L O A D the Flagship PLZ-5W series CC Mode / High range / 0-80A Switching Ch4 load current 20A/div Horizontal 10us/div SET[A] (100 %)

More information

( )

( ) MEMS 4 : ( ) ( ) Pt ITO Si (2 m) Si (0.2 m) (T.Ono et.al., J.Micromech.Microeng.,10 (2000) 445-451) DEMA (Distributed Electrostatic MicroActuator) (XY ) DEMA (Distributed Electrostatic MicroActuator)

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション STRJ ITRS 2003 LSI 2004.3.4. MIRAI 100nmCMOS - Si SOI CMOS SOI MOSFET CMOS 100nmCMOS trade-off Sub 100 nm CMOS trade-off x j (ext. conc.) Nsub Vdd Vth design EOT S or Si Nsub EOT something S/D EOT SiGe

More information

チョークコイル・リアクタ

チョークコイル・リアクタ THIN TRNSORMRS (MPT TYP) OMSTI(JPN) U S I TYP MPT MPT series are available for the thinner-sized sets and suit to I standard. H MPT I KS5535 KS6435 KS7235 KS7250 KS7270 KS9640 KS9660 KS9680 KS00 75.0 67.0

More information