プロセッサ・アーキテクチャ

Similar documents
Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2

Nios II ハードウェア・チュートリアル

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Avalon Memory-Mappedブリッジ

untitled

Nios II 簡易チュートリアル

Nios II ソフトウェア開発ハンドブック Version 1.2 第6章. 例外処理 ver.1.2

「FPGAを用いたプロセッサ検証システムの製作」

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

A Responsive Processor for Parallel/Distributed Real-time Processing

26 FPGA FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Lab GPIO_35 GPIO

Cyclone IIIデバイスのI/O機能

Nios II Flash Programmer ユーザ・ガイド

untitled

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Express5800/120Ed


DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =


Express5800/120Ra-1

橡EN1165.PDF

untitled

2004 OS : : : 1G01P033-1 :

プリント

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

Stratix IIIデバイスの外部メモリ・インタフェース

1 2

1 122

AN 100: ISPを使用するためのガイドライン

FPGAメモリおよび定数のインシステム・アップデート

main.dvi

HardCopy IIIデバイスの外部メモリ・インタフェース

1 2

TULを用いたVisual ScalerとTDCの開発

/ / SeamlessCVE

Stratix IIデバイス・ハンドブック Volume 1


1 124

matrox0

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) (

I117 II I117 PROGRAMMING PRACTICE II DEBUG Research Center for Advanced Computing Infrastructure (RCACI) / Yasuhiro Ohara

LinuxDeviceDriver2003-PDF.PDF

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for


1 2

Express5800/120Lc

スライド 1

IP1_ug.book

SERVIS Multi

1 138

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

テストコスト抑制のための技術課題-DFTとATEの観点から

Nios II SBT Flash Programmer ユーザ・ガイド

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

untitled

Express5800/110Rc-1 1. Express5800/110Rc-1 N N Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1

:


Express5800/120Mc

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

iExpress5800/N サーバハードウェア

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

Express5800/320Fc-MR

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

71-78.indd

1 142

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

Express5800/i110Rc-1hユーザーズガイド

複数の Nios II を構成する際の注意事項

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N N N Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120R

コンフィギュレーション & テスト

VLSI工学

Express5800/120Le

Microsoft PowerPoint - NxLecture ppt [互換モード]

動的適応型ハードウェアの提案

T120Ra-1ユーザーズガイド

Express5800/320Fa-L/320Fa-LR

Express5800/R110a-1Hユーザーズガイド

Microsoft PowerPoint - NxLec ppt


Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

iStorage NS47Pユーザーズガイド

HardCopy IIデバイスのタイミング制約

富士通セミコンダクター株式会社発表資料

untitled

SystemC言語概論

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

Nios II マイコン活用ガイド Nios II マイコンボード紹介 ステップ 1 AuCE C3 製品紹介 AuCE C3 は ソフトコア プロセッサ Nios II( アルテラ社 ) を搭載可能なマイコンボードです 弊社の基本ソフトウェアをインストールし FPGA 開発者のデザインと Nios

iStorage NS500Rbユーザーズガイド

02_Matrox Frame Grabbers_1612

Transcription:

2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1

2 1. Nios II Nios II Processor Core JTAG interface to software debugger reset clock cpu_resetrequest cpu_resettaken JTAG Debug Module irq[31..0] Program Controller & Address Generation Exception Controller Interrupt Controller General Purpose Registers Control Registers Instruction Regions Memory Protection Unit Data Regions Instruction Cache Memory Management Unit Translation Lookaside Buffer Tightly Coupled Instruction Memory Tightly Coupled Instruction Memory Instruction Bus Data Bus Custom I/O Signals Custom Instruction Logic Arithmetic Logic Unit Data Cache Tightly Coupled Data Memory Tightly Coupled Data Memory Nios II ALU MMU MPU JTAG 2 2 Altera Corporation Nios II

Nios II Nios II Nios II Nios II Nios II Nios II Nios II 3 JTAG Nios II Nios II Nios II Nios II SOPC Builder Nios II Nios II Altera Corporation 2 3 Nios II

Nios II 32 32 32 32 Nios II Nios II ALU ALU 1 2 ALU 2 1 2 1. Nios II ALU ALU ALU ==!= >= < ALU AND OR NOR XOR ALU 0 31 / ALU / ALU / 2 1 Nios II Nios II Nios II 2 4 Altera Corporation Nios II

Nios II Nios II ALU Nios II Custom Instruction User Guide Nios II IEEE Std 754-1985 2 2 IEEE 754-1985 2 2. IEEE 754-1985 / (1) NaN ± ± ±0 Round-to-Nearest Round-toward-Zero Round-toward- + Round-toward- - NaN Quiet Signaling Altera Corporation 2 5 Nios II

2 2. IEEE 754-1985 / IEEE 754-1985 IEEE 754-1985 2 2 : (1) Nios II IDE IEEE 754-1985 100% Nios II Nios II C Nios II 2 reset - cpu_resetrequest - Nios II 1 cpu_resettaken cpu_resetrequest cpu_resetrequest JTAG cpu_resetrequest JTAG cpu_resetrequest 2 6 Altera Corporation Nios II

Nios II 1 SOPC Builder Nios II 32 irq0 irq31 32 IQR IQR IRQ ienable status PIE 3 status PIE 1 irq<n> ienable n 1 Nios II 1 Nios II Nios II Altera Corporation 2 7 Nios II

32 50 LE f MAX Nios II SOPC Builder Nios II Nios II 2 3 2 3. ALT_CI_EXCEPTION_VECTOR_N : if (ipending == 0) (estatus.pie == 0) then rc else rc 8 ipending ctl4 1 : custom ALT_CI_EXCEPTION_VECTOR_N, rc, r0, r0 : custom ALT_CI_EXCEPTION_VECTOR_N, et, r0, r0 blt et, r0, not_irq : : : : rc R C = rc N = ALT_CI_EXCEPTION_VECTOR_N 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0 0 C 0 0 1 N 0x32 Nios II 2 8 Altera Corporation Nios II

I/O Nios II I/O Nios II Nios II I/O Nios II Nios II I/O Nios II 1 I/O Avalon-MM Nios II Avalon-MM Nios II Nios II Nios II Nios II Nios II 2 2 Nios II I/O Altera Corporation 2 9 Nios II

I/O 2 2. Nios II I/O Nios II Processor Core M Tightly Coupled Instruction Memory 1 Instruction Bus Selector Logic MPU Instruction Regions M Tightly Coupled Instruction Memory N Program Counter Instruction Cache M Avalon Switch Fabric S Memory MMU Translation Lookaside Buffer General Purpose Register File Data Bus Selector Logic Data Cache Bypass Logic Data Cache MPU Data Regions M M Tightly Coupled Data Memory 1 S Slave Peripheral M Tightly Coupled Data Memory N M S Avalon Master Port Avalon Slave Port Nios II Harvard Avalon-MM Avalon-MM Avalon-MM Avalon Memory Mapped Interface Specification 2 10 Altera Corporation Nios II

Nios II I/O Nios II Nios II Nios II Nios II 32 Avalon-MM 1 Avalon-MM Avalon-MM f MAX Nios II 32 Nios II Nios II 2-13 Nios II 2-15 Altera Corporation 2 11 Nios II

I/O Nios II 32 Avalon-MM 2 4 Nios II 4 Avalon-MM 4 1 Nios II Nios II 2-15 1 Nios II 1 / Nios II Avalon 2 12 Altera Corporation Nios II

Nios II Nios II SDRAM Nios II Nios II Nios II / / Altera Corporation 2 13 Nios II

I/O Nios II 2 K 1 K 2-15 Nios II I/O 31 I/O ldio stioi/o Avalon-MM 31 31 31 Nios II Nios II 2 14 Altera Corporation Nios II

Nios II Nios II 1 Nios II 1 Nios II DSP Altera Corporation 2 15 Nios II

I/O Nios II 3 Nios II MMU - Nios II MMU 2 32 4 G 4 G 4 K 512 M TLB TLB n TLB TLB TLB TLB MMU Nios II 2 16 Altera Corporation Nios II

Nios II Nios II MMU MMU VIPT Virtually-Indexed, Physically-Tagged MMU Nios II MMU SOPC Builder Nios II Nios II Nios II MMU Nios II MPU Nios II MMU MPU MMU MPU Nios II MPU Nios II MPU 32 32 MPU Nios II Nios II Nios II MPU MPU MPU Nios II MPU SOPC Builder Nios II Nios II Altera Corporation 2 17 Nios II

JTAG Nios II MPU Nios II MMU Nios II MPU MMU MPU MMU JTAG Nios II JTAG PC PC JTAG Nios II MMU JTAG FPGA JTAG FPGA JTAG Nios II Nios II JTAG 2 18 Altera Corporation Nios II

Nios II JTAG Nios II IDE JTAG JTAG FPGA JTAG CPU / JTAG Nios II IDE JTAG JTAG RAM RAM JTAG JTAG JTAG Altera Corporation 2 19 Nios II

JTAG 2 4 JTAG 2 4. (1) D I D D D D I 2-20 D 2-21 : (1) I D JTAG 2 5 Nios II JTAG JTAG 2 JTAG A B A B 2 20 Altera Corporation Nios II

JTAG 2 2 5. (1) JTAG 1 : (1) 1 JTAG JTAG JTAG Altera Corporation 2 21 Nios II

JTAG Nios II First Silicon Solution FS2 Lauterbach www.fs2.com www.lauterbach.com JTAG JTAG 1 2 22 Altera Corporation Nios II

100% Nios II Nios II Instantiating the Nios II Processor in SOPC BuilderNios II Nios II Custom Instruction User Guide Instruction Set ReferenceNios II Nios II Avalon Memory Mapped Interface Specification Altera Corporation 2 23 Nios II

2 6 2 6. v8.0.0 2007 10 v7.2.0 2007 5 v7.1.0 2007 3 v7.0.0 2006 11 v6.1.0 2006 5 v6.0.0 2005 10 v5.1.0 MMU MPU cpu_resetrequest cpu_resettaken MMU MPU 2005 5 v5.0.0 2004 12 v1.2 2004 9 v1.1 2004 5 v1.0 ctl5 Nios II 1.01 2 24 Altera Corporation Nios II