HardCopy IIデバイスのタイミング制約

Similar documents
ネットリストおよびフィジカル・シンセシスの最適化

Chip PlannerによるECO

AN 477: Designing RGMII Interface with HardCopy

Quartus II はじめてガイド - TimeQuest によるタイミング制約の方法

FPGAメモリおよび定数のインシステム・アップデート

Cyclone IIIデバイスのI/O機能

HardCopy IIIデバイスの外部メモリ・インタフェース

デザイン・スペース・エクスプローラ

Quartus II - TimeQuest クイック・ガイド

main.dvi

Stratix IIIデバイスの外部メモリ・インタフェース

QII Quartus II TimeQuest Quartus II TimeQuest ASIC Quartus II TimeQuest GUI Quartus II TimeQuest GUI Synopsys Design Constraints SDC Qua

Nios II 簡易チュートリアル

Quartus IIネットリスト・ビューワによるデザインの解析

Nios II ハードウェア・チュートリアル

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Stratix IIデバイス・ハンドブック Volume 1

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

プリント

Łñ“’‘‚2004


スライド 1

Quartus II - デバイスの未使用ピンの状態とその処理

PLDとFPGA

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

LSI LSI

コンフィギュレーション & テスト

Beryll Beryll Cyclone V GX FPGA FPGA ROM...

9BBH3A8_P0000

Quartus II はじめてガイド - Device & Pin Options 設定方法

Design at a higher level

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Quartus IIプロジェクトのマネージング

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

.o...EPDF.p.indd

VHDL

Lab GPIO_35 GPIO

CSR報告書2006

スライド 1

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

p...{..P01-48(TF)

, FPGA Verilog-HDL

コンポーネント・インタフェースTclリファレンス

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Avalon Memory-Mappedブリッジ


untitled

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop

Quartus II - Chip Planner クイック・ガイド

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

VLD Kazutoshi Kobayashi

N12866N2P-H.PDF


VHDL VHDL VHDL i

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

プロセッサ・アーキテクチャ

mbed祭りMar2016_プルアップ.key

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

Quartus II クイック・スタートガイド

PowerPoint Presentation

KDDI Annual Report 2002

Kumagai09-hi-2.indd

Since

TULを用いたVisual ScalerとTDCの開発

ECP2/ECP2M ユーザーズガイド

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Microarray Data Analysis Tool Ver3.0 Manual.doc

untitled


matrox0

LTC ビット、200ksps シリアル・サンプリングADC

102

output2010本文.indd

2

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

1

PLL クイック・ガイド for Cyclone III

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt

論理設計の基礎

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

P indd

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

untitled

NL-22/NL-32取扱説明書_操作編

デザインパフォーマンス向上のためのHDLコーディング法

untitled

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

01 DAITO GROUP CSR Report 2014

R1RW0408D シリーズ

MCS-8M


01

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Cyclone II Device Handbook

..0.._ e.qxp

Transcription:

7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II TimeQuest TimeQuest Synopsys Design Constraints SDC TimeQuest Quartus II Volume 3 TimeQuest Altera Corporation 7 1

HardCopy Volume 1 HardCopy II ASIC Stratix II FPGA TimeQuest HardCopy II Advisor Quartus II HardCopy II HardCopy II HardCopy II Stratix II HardCopy II ASIC Stratix II FPGA HardCopy II Quartus II Stratix II FPGA HardCopy II Stratix II HardCopy II I/O Stratix II HardCopy II HardCopy II Stratix II Stratix II ALM HardCopy II HCell HardCopy II HardCopy II SRAM HardCopy II HardCopy II 7 2 Altera Corporation

HardCopy II Stratix II FPGA Stratix II ALM HardCopy II HCell ALM HardCopy II HCell HCell Stratix II ALM MUX Stratix II ALM Stratix II FPGA ALM HardCopy II HardCopy II SRAM Stratix II 1 HardCopy II 5 6 SRAM SRAM HardCopy II Stratix II FPGA HardCopy II Stratix II HardCopy II Stratix II FPGA HardCopy II DSP Stratix II FPGA DSP DSP DSP DSP HardCopy II Altera Corporation 7 3

HardCopy Volume 1 DSP Stratix II DSP HCell DSP DSP HardCopy II Stratix II FPGA RAM Stratix II FPGA HardCopy II DSP RAM HardCopy II Stratix II FPGA I/O HardCopy II I/O Stratix II I/O I/O I/O 1 I/O I/O HardCopy II Stratix II I/O HardCopy II Stratix II HardCopy II PLL Stratix II FPGA HardCopy II ASIC Stratix II FPGA SRAM 7 4 Altera Corporation

HardCopy II PLL HardCopy II SRAM I/O I/O I/O Quartus II Stratix II FPGA HardCopy II ASIC I/O PLL Stratix II HardCopy II PLL Quartus II HardCopy II PLL PLL Stratix II FPGA HardCopy II ASIC HardCopy II HardCopy II ASIC Stratix II FPGA Quartus II Altera Corporation 7 5

HardCopy Volume 1 HardCopy II HardCopy Design Center HCDC HCDC ASIC Quartus II Quartus II Stratix II FPGA HardCopy II ASIC Stratix II HardCopy II ASIC HardCopy II HardCopy II Quartus II TimeQuest FPGA TimeQuest Quartus II TimeQuest TimeQuest TimeQuest TimeQuest SDC SDC Tcl GUI 7 6 Altera Corporation

HardCopy II HardCopy II TimeQuest HCDC Quartus SDC 7 1 Quartus II HardCopy II TimeQuest FPGA HardCopy II HardCopy II Stratix II Altera Corporation 7 7

HardCopy Volume 1 7 1. Stratix II (1) Stratix II Revision Timing Constraints HardCopy II Revision Timing Constraints Stratix II Design Setup Compilation Constraint Coverage Checks Static Timing Analysis FPGA Prototyping HardCopy II Design Setup Compilation Constraint Coverage Checks Static Timing Analysis HardCopy II Structured ASIC Design FPGA Prototype Design Industry Standard SDC Timing Constraints Revision Comparison HardCopy Design Center Handoff 7 1 : (1) Stratix II HardCopy II TimeQuest SDC.sdc Quartus.qsf 7 1 Quartus II Stratix II FPGA TimeQuest TimeQuest 7 10 7 8 Altera Corporation

HardCopy II TimeQuest Quartus II Synopsys PrimeTime Stratix II FPGA HardCopy II Stratix II FPGA HardCopy II Stratix II FPGA HardCopy II HardCopy II HardCopy II HardCopy II Stratix II TimeQuest Quartus II HardCopy II 1 Stratix II HardCopy II Stratix II FPGA Quartus II HCDC HardCopy II SDC Quartus II sdc HardCopy II Quartus II sdc Quartus II Quartus II sdc Quartus II Scripting Reference Manual Tcl Packages and Commands sdc Altera Corporation 7 9

HardCopy Volume 1 TimeQuest TimeQuest Quartus II HardCopy II TimeQuest HardCopy II HardCopy II Advisor TimeQuest 7 2 7 2. HardCopy II Advisor TimeQuest TimeQuest report_ucp TimeQuest GUI Tasks Report Unconstrained Paths 7 10 Altera Corporation

HardCopy II TimeQuest HardCopy SDC TimeQuest Quartus II 2 Tcl sdc sdc_ext HardCopy II sdc SDC 1.5 Quartus II SDC sdc_ext SDC 1.5 TimeQuest HardCopy II SDC HCDC HardCopy Design Center sdc_ext Quartus II sdc sdc_ext Quartus II Scripting Reference Manual Tcl Packages and Commands sdc SDC and TimeQuest API Reference Manual Quartus II 7 3 Altera Corporation 7 11

HardCopy Volume 1 7 3. TimeQuest TimeQuest Quartus II Volume 3 TimeQuest QuartusII 7 12 Altera Corporation

HardCopy II Quartus II HardCopyII HardCopy II Advisor HardCopy II HardCopy II Advisor Design Assistant HardCopy Hardware Design Considerations Design Guidelines for HardCopy Series Devices HardCopy II Advisor Quartus II 7 4 Enable Recovery/Removal Analysis / Enable Timing Constraints Check Report Combined Fast/Slow Timing / Report I/O Paths Separately I/O I/O Enable Clock Latency Enable Misc. Timing Assignments CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS OFF UCP PLL ON Altera Corporation 7 13

HardCopy Volume 1 7 4. HardCopy II Advisor TimeQuest HardCopy II HardCopy II Advisor Check for Incompatible Assignments Remove Unsupported Global Timing Assignments Remove Unsupported Instance Timing Assignments 7 5 HardCopy II 7 23 HardCopy II Quartus II HardCopy II HCDC 7 14 Altera Corporation

HardCopy II 7 5. HardCopy II Advisor Altera Corporation 7 15

HardCopy Volume 1 Stratix II HardCopy II Timing Constraints Check 7 6 I/O 7 6. TimeQuest Quartus II 7 16 Altera Corporation

HardCopy HardCopy HardCopy HardCopy Design Center FPGA HardCopy FPGA HardCopy HardCopy SDC Quartus II SDC File Editor Constraints SDC SDC Quartus II Volume 3 TimeQuest TimeQuest Quartus II Volume 3 TimeQuest Quartus II Volume 3 7 7 Altera Corporation 7 17

HardCopy Volume 1 7 7. Clock Uncertainty Clock Period = 10.0 ns clk - 0.5 0.5 0.0 Rising Edge of Clock 5.0 10.0 Falling Edge of Clock PLL PLL PLL PLL PLL set_clock_uncertainty PLL PLL FAE MySupport SDC PLL SDC # create_clock -period 10.000 [get_ports clkin] #PLL derive_pll_clocks 7 18 Altera Corporation

HardCopy derive_pll_clocks sdc_ext HardCopy II sdc HCDC sdc generated_pll_clock API SDC and TimeQuest API Reference Manual 2 HardCopy FPGA 7 8 7 8. External Device D Q dff Data Path Delay Primary Input to PLD/HardCopy Series Device Data Path Delay D dff Q External Input Delay HardCopy Device or FPGA 7 9 Altera Corporation 7 19

HardCopy Volume 1 7 9. tsu for a Primary Input Port data Data Path Delay tsu clk Clock Delay 7 10 7 10. th for a Primary Input data Data Path Delay th clk Clock Delay 2 2 7 20 Altera Corporation

HardCopy 1 HardCopy 7 11 7 11. External Device D Q dff Data Path Delay Primary Output from FPGA/HardCopy Series Device Data Path Delay D Q dff HardCopy Device or FPGA External Output Delay Tco Clock-to-Output T CO 7 12 T CO T CO 7 12. Clock-to-Output (T co ) tco Data Path Delay output clk Clock Delay tco for a Primary Output Port Altera Corporation 7 21

HardCopy Volume 1 7 13 7 13. input Data Path Delay output Combinational Delay Arc 2 HCDC HardCopy HardCopy 7 22 Altera Corporation

HardCopy II HardCopy II Quartus II HardCopy II HCDC SDC HardCopyII TSU Th TCO Min T CO T PD Quartus II HardCopy II Quartus II SDC HCDC HardCopy II Advisor Incompatible Assignments 7 5 SDC TimeQuest HardCopy II Quartus II HardCopy II HardCopy II Quartus II Altera Corporation 7 23

HardCopy Volume 1 7 1 TCO Th TSU Min T CO HardCopy II 7 1. TSU TH TCO Minimum T CO (1) (2) (3) (4) (5) setup_relationship set_input_delay hold_relationship set_output_delay TSU Req TSU -max <TCK-TSU> Th Req -min Th -Th TCO Req TCO -max <TCK-TCO> Min T CO Req Min T CO -min <- Min T CO > 7 1 : (1) TSU = TSU (2) TCO = TCO (3) Th = Th (4) Min T CO = Min T CO (5) TCK = TSU TCO HardCopy II Quartus II Quartus II ASIC HardCopy Design Center HardCopy II 7 24 Altera Corporation

7 2 7 2. & v2.1 2006 12 v2.0 Quartus II 6.1.0 Quartus II 6.1.0 HardCopy II TimeQuest TimeQuest 22 HardCopy HardCopy II 2006 3 v1.0 HardCopy Quartus II 6.1 TimeQuest HardCopy II TimeQuest HardCopy Altera Corporation 7 25

HardCopy Volume 1 7 26 Altera Corporation